Many thanks for your interest in MEMS Manufacturing 2022! It was a fantastic online conference with 190+ participants and we look forward to seeing you at our upcoming online events.

You can still register to access the recorded presentations and slides in electronic format from MEMS Manufacturing 2022. click here to register and then you’ll receive a link to download the conference materials. For more information or if you have any questions, please contact Jessica Ingram at jessica@microtechventures.com.

Exhibitors

Sponsorships for MEMS Manufacturing 2022 are available. For further information and questions about sponsorships, please click here.


https://atlant3d.com/

ATLANT 3D Nanosystems is a cross-European deep tech company that developed a unique atomic layer advanced manufacturing technology (direct write ALD) with a mission to reshape the future atom by atom and enable on-demand advanced materials development, rapid prototyping and manufacturing of microdevices and nanodevices. The company was founded in 2018 by Dr. Maksym Plakhotnyuk, Ivan Kundrata, and Prof. Dr. Julien Bachmann with a vision of delivering the first-ever atomic layer 3D printing technology to reshape the future atom by atom. Our solution uses atomic layer additive manufacturing which possesses straightforward design, supply chain, and environmental advantages compared to traditional techniques, disrupting 60 years of established micro-and nanofabrication processes. tions include frequency control products, data projectors, finger print sensors, medical imaging and industrial sensors.


http://www.bmftec.com

Boston Micro FabricationBoston Micro Fabrication specializes in microscale precision 3D printing. The company’s microArch 3D printing system uses a proprietary approach to 3D printing called PμSL (Projection Micro-Stereolithography) that leverages light and enables the technology to produce the industry’s most accurate and precise high-resolution prints at an imperceptibly small scale for commercial manufacturers. The technology represents a true industry breakthrough by empowering product manufacturers to capitalize on the benefits of 3D printing without sacrificing quality or scale. Founded in 2016, BMF has offices in Singapore, Boston, Shenzhen and Tokyo.


www.imec-int.com

Imec aims to be the world-leading research and innovation hub in nanoelectronics and digital technologies. The combination of our widely acclaimed leadership in microchip technology and profound software and ICT expertise is what makes us unique. By leveraging our world-class infrastructure and local and global ecosystem of partners across a multitude of industries, we create groundbreaking innovation in application domains such as healthcare, smart cities and mobility, logistics and manufacturing, energy and education. As a trusted partner for companies, start-ups and universities we bring together close to 3,500 brilliant minds from over 75 nationalities. Imec is headquartered in Leuven, Belgium and also has distributed R&D groups at a number of Flemish universities, in the Netherlands, Taiwan, USA, China, and offices in India and Japan.


https://www.i-rom.de/de/

i-ROM is the new generation of MEMS design software. Simply draw the sensor, similar to a CAD system, click, and the complete structure with all parameters is recorded in the i-ROM MODELBUILDER. Comprehensive model libraries with standard and user-defined comb cells and parallel plate capacitors support the model input. The MODELBUILDER enables static, harmonic, and transient analysis. Even complicated models with several mass bodies, arbitrary spring shape, and capacitive transducers can be modeled in a very short time. The models are fully parametric and also take into account the manufacturing tolerances such as mask undercuts and etch sidewall slopes, electromechanical interactions and non-linearities. Interface to ANSYS and SIMULINK as well as a mask export to complete the i-ROM MODELBUILDER and make it a "must have" for every MEMS development department.


https://www.kyodo-inc.co.jp/english

MEMS and Nanofabrication Solutions: Kyodo International, Inc. is a pure-play foundry that specializes in MEMS and nanofabrication contract manufacturing. We provide prototyping and volume production services for MEMS, sensors, biomedical, optical, and IoT devices for a variety of applications. Kyodo provides custom solutions and services in thin-film deposition, wafer bonding, polishing (CMP), dry and wet etching, nanoimprint lithography, photolithography, and many other key microfabrication processes. Kyodo also supplies sputtering targets and target bonding services. In addition, we provide comprehensive nanoimprint related services such as mold fabrication, anti-stick coating, imprinting, and mold replication. Kyodo supports complete R&D work to meet customer needs, thus decreasing R&D costs and accelerating time to market. We work with start-ups, academia, government, defense, and aerospace customers. Kyodo is well-represented in Silicon Valley and serves the US and Canadian markets.


http://www.neutronixinc.com

Neutronix-Quintel (NXQ) is a leading provider of high performance mask alignment systems since 1978. NXQ is comprised of a team of seasoned industry veterans with vast experience in photolithography, providing their customers with the most robust solutions which have been derived from many years of customer driven innovations. NXQ has well over 1000 systems installed around the world used for various technologies such as MEMS, compound semi, biomedical, microfluidics, HB LED, WLP, 3DIC / TSV, 2.5D interposer and HCPV. Prominent high volume manufacturing companies utilize NXQ’s equipment for end products such as transceiver chip sets for cell phones and other wireless devices, medical sensors, automobile sensors, LED lighting, military and defence electronics, IR detectors, optical devices used for communications and discrete devices. The company’s products are also used extensively throughout the world at universities and research institutes and are recognized as one of the most versatile and flexible mask aligners in the marketplace. NXQ works closely with customers to innovate and develop new features that differentiate their products from the competition. The company continues to gain market share with customers that require equipment suppliers who can meet their stringent needs for cost, performance and reliability. With the recently release of the 300mm platform, NXQ is well positioned to maintain double digit growth.


http:// www.okmetic.com

Okmetic, founded in 1985, is the leading supplier of advanced, high value-added, silicon wafers for the manufacture of MEMS and sensors as well as RF and power applications. Okmetic has the most extensive 150-200mm wafer portfolio in the market comprising of comprehensive lines of silicon-on-insulator (SOI) and high resistivity RFSi® wafers as well as single side polished (SSP) and double side polished (DSP) wafers. The company’s decades-long crystal growth, wafering, and SOI expertise and in-house capabilities for lithographic patterning and deep reactive-ion etching guarantee the optimal platform for the manufacture of even the most advanced of applications. Okmetic’s headquarters is located in Finland, where the majority of the company’s silicon wafers is manufactured. Worldwide sales organization and technical support in Europe, the United States, Japan and the Asia-Pacific region ensure quick local service, rapid prototyping and highly optimized wafer solutions meeting your device and process needs.


http://www.innovationservices.philips.com/mems

We design, develop, and manufacture custom microelectromechanical systems (MEMS) and assemble non-standard micro devices. We have 150 experts working at the ISO 13485 qualified MEMS Foundry and Micro Devices Facility. We offer MEMS prototyping, process development, manufacturing, as well as micro devices and assembly services.


https://www.saesgetters.com

SAES Group is an advanced materials company: our know-how is focused on gas-solid interactions and gettering, release of high purity vapours, shape memory and superelastic materials, integration of specialized functionalities into polymer systems. SAES provides products that allow customers to achieve lifetime device integrity of their MEMS. There are many configurations of getter solutions available for integration inside a device, ranging from SAES' thin film Page ® material deposited on lids or wafers, to hydrogen absorbing films deposited on lids or sheets, to porous getters. SAES also provides a very large portfolio of active edge sealants, active transparent fillers, dispensable getters for a wide range of semi-hermetically sealed electronics and photonics devices. These are solventless formulations, tailored to address customers’ specific device designs and processes.


http://www.silterra.com

SilTerra Malaysia is a semiconductor wafer foundry offering a full range of process technologies covering our core business in CMOS technologies (advanced logic, RFCMOS, mixed signal and high voltage) to leading edge technologies in MEMS, silicon photonics, bio-photonics and power. SilTerra’s wafer fab has a capacity of 40,000 eight-inch wafers per month. SilTerra also offers MEMS foundry services and a unique MEMS-on-CMOS technology. Under the MEMS foundry services, we help customers realize working prototypes from their proof of concept, support the transfer or set-up of customer owned process and ramp-up to high volume manufacturing (all in one fab). With our MEMS-on-CMOS technology, we have the capability to build the MEMS devices on pre-processed CMOS wafers thus offering a “truly monolithic MEMS integrated solution”. This integrated technology provides a cost-effective, multi-functional chip with a smaller footprint. SilTerra provides proven silicon validated MEMS devices to our customers. SilTerra offers various MEMS on CMOS devices such as: pMUT(piezoelectric micromachined ultrasonic transducers), BAW and SAW (bulk and surface acoustic wave) resonators, optical micro-mirror arrays, zero level package (ZLP), nano-wires, and sensors. Applications include frequency control products, data projectors, finger print sensors, medical imaging and industrial sensors.


https:// www.suss.ch

SUSS MicroOptics produces high-quality refractive and diffractive micro-optics for fiber coupling, collimation and beam homogenizing based on extensive experience in optical design, engineering, wafer-level manufacturing, metrology and packaging. SUSS MicroOptics is automotive qualified and key supplier for innovative photonic solutions in telecom, datacom, life science, laser, semiconductor equipment and automotive lighting. SUSS MicroOptics SA was formed in 1999 with the remit to supply its parent SUSS MicroTec AG with micro-optical elements for their lithography equipment. As the market for micro-optics grew, SUSS MicroOptics expanded to meet the new and diverse requirements, developing its product portfolio and expertise to become one of the leading producers of precision refractive and diffractive micro-optics in the world.


https://www.teledynedalsa.com/en/contact/contact-sales

“All designs. All materials. All applications.” Teledyne offers unmatched MEMS capability, from design to prototyping on 150mm wafers through to volume 200mm production. Teledyne DALSA and Teledyne Micralyne combine to collaborate with customers offering decades of experience across a vast process portfolio. Our deep expertise in materials and processes, combined with state-of-the-art facilities and collaborative R&D resources drive continuous improvement, learning, and innovation. Teledyne delivers a scalable suite of MEMS and microfabrication capabilities that allow customers to ramp up to meet their business development goals. We are a trusted development and manufacturing partner for process and product IP. Contact us to find out more.


http://www.ulvac.com/services/MEMS-Foundry.cfm

ULVAC Technologies, Inc. (ULVAC) was established in 1992 as the US subsidiary of ULVAC, Inc. Headquartered in Methuen, Massachusetts, ULVAC provides a broad portfolio of manufacturing equipment for the vacuum, materials and thin film industries. ULVAC's solutions diversely incorporate equipment, materials, analysis, and services for flat panel displays, electronic components, semiconductors, MEMS and general-industry equipment. In addition, the Methuen facility is equipped with a class-10 cleanroom for process development, customer demonstration and manufacturing of the ENVIRO solvent-free dry photo resist stripper and compound semiconductor materials etch systems. Other in-house services include foundry etch (for deep oxide and compound semiconductor materials), thermal processing, materials characterization, and vacuum pump/leak detector repair. ULVAC supports a variety of MEMS production technologies, for applications ranging from micro sensors to flow channel modules, optical switches, and bio-MEMS.


Research and Development Partner


The Fraunhofer Institute for Photonic Microsystems IPMS in Dresden, Germany, is your access to know-how, expertise and modern R&D infrastructure in the field of optical sensors and actuators, integrated circuits, microsystems (MEMS/MOEMS) and nanoelectronics. Fraunhofer IPMS is one of 75 institutes of the Fraunhofer-Gesellschaft, the leading organization for applied research in Europe. It is devoted to research of practical utility. Relying on 29,000 employees, the Fraunhofer-Gesellschaft has a research budget of 2.8 billion euros.


Sponsor and Exhibit Opportunities

For further information or questions about sponsorships, please contact Jessica Ingram at
jessica@microtechventures.com or call 360-929-0114.

Platinum Sponsor ($15,000) – includes:

  • Five (5) conference passes (transferable to individuals outside of sponsor’s company or organization)
  • Conference participant list (with contact information)
  • Opportunity to give a 10-minute talk during main conference session
  • Virtual exhibit booth with access to our AI-enabled matchmaking platform
  • Access to all live talks, recordings, and presentation slides
  • Access to the online AI-enabled matchmaking platform
  • Recognition as Platinum Sponsor, company logo and description on event website and matchmaking platform; marketing exposure through preconference email and social media promotions
  • Event promotions will reach 70,000 to 80,000 individuals in the medical, wearables, sensors, MEMS, electronics, and semiconductor industry segments.

Gold Sponsor ($10,000) – includes:

  • Four (4) conference passes (transferable to individuals outside of sponsor’s company or organization)
  • Recognition as Gold Sponsor
  • All other items the same as Platinum Sponsor

Silver Sponsor ($7,500) – includes:

  • Three (3) conference passes (transferable to individuals outside of sponsor’s company or organization)
  • Recognition as Silver Sponsor
  • Opportunity to give a 5-minute talk during main conference session
  • All other items the same as Platinum Sponsor

Bronze Sponsor ($5,000) – includes:

  • Two (2) conference passes (transferable to individuals outside of sponsor’s company or organization)
  • Recognition as Bronze Sponsor
  • Opportunity to give a 5-minute talk during main conference session
  • All other items the same as Platinum Sponsor

Online sponsor space is limited. Sign up today!


Past Exhibitors


Many thanks to our exhibitors from the 2015-2017 events.

http://3dprintedmicrotec.com

3D printed microTEC is the market leader for 3D printed microstructures and packaging of 3D microsystems. With more than 20 years of experience, and a strong focus on miniaturization and system integration, microTEC is an internationally renowned contract developer and manufacturer of MEMS across industries of life science, automotive, consumer electronics, and telecommunication. We utilize a proprietary additive manufacturing technology using UV-light and photomasks to produce large volumes of the most precise (down to the 1μm range) 3D printed structures in the market today. 3DpmT is the exclusive partner of microTEC, the global 3D printing company head-quartered in Germany, since 1996. Together, we provide the full bandwidth of services from custom product development to mass volume production. With various platforms from 5” to 14”, our unique batch oriented technology allows the direct production ramp with the same precision, from 1 - 100 million parts. For MEMS, we integrate and wire active and passive components in parallel, and in 3 dimensions. We are proud to be able to produce any product that requires high precision in large volumes. microTEC can meet the demand at competitive prices.


http://www.aardtechnology.com

AARD Technology is the U.S. representative for scia Systems GmbH, a German supplier of specialized plasma and ion beam process equipment. We offer systems for coating, etching, and localized trimming for the MEMS, microelectronics, and optics industries. Technical support and 24 x 7 service hotlines ensure high volume manufacturers enjoy maximum uptime.


http://www.accurion.com

Accurion provides advanced instrumentation for thin film characterization and active vibration isolation. Ellipsometry allows precise measurements of optical properties and layer thicknesses down to 0.1nm. With its Spectroscopic Imaging Ellipsometer EP4, Accurion combines ellipsometry with microscopy to enable measurements on small structures with a lateral resolution down to 1µm. All pixels are measured in parallel. Direct ellipsometric contrast images provide a fast detection of defects and contaminations. Application fields are 2D materials, MEMS, biochips, solar cells, flat panels, Langmuir-Blodgett films, polymers, etc. Within the field of quality control our Referenced Spectroscopic Ellipsometer RSE combines high speed (200 spectra per second) with the accuracy of an ellipsometric measurement. Optimal vibration isolation is absolutely essential for creating as well as for analyzing very small structures. Accurion's active vibration isolation is the most effective solution to isolate sensitive equipment from disturbing vibrations. Application examples are lithography, scanning probe microscopy, scanning electron microscopy, micromanipulation, optical surface profilometry, nanoindentation, ellipsometry and many more.


http://www.afore.fi

Afore offers sensor test solutions for R&D and laboratory phase, high volume production and sensor post assembly testing. A modular inertial test system, METIS, was released in May 2017, bringing automotive grade accuracy available in sensor development phase. Afore is a forerunner in wafer level testing. Fully automatic probers with physical stimulus, AIOLOS and KRONOS, are available for environmental and motions sensors enabling sensor manufacturers to utilize all benefits of chip scale packaging technology. Tri-temp strip level test systems for inertial sensor provide the most accurate calibration in the industry for automotive ESC sensors. Established in 1995, Afore is the experienced partner in the area of sensor testing.


http://bsac.berkeley.edu

Smart devices, harsh environment sensing, intraoperative cancer detection, foldable paper electronics, and wearable medical devices are some examples of sensor-based technology pioneered at the Berkeley Sensor & Actuator Center, a Graduated NSF Industry/University Cooperative Research Center for Micro/Nanoelectromechanical Sensors & Systems (MEMS/NEMS). Current research at BSAC will have an even greater impact on consumer, industrial, and medical products. Contact us for information on how to become involved with new and improved technology being developed at BSAC.


https://www.usa.canon.com

Canon’s Industrial Products Group offers manufacturing equipment (PVD, etching, lithography, vacuum components, etc.) for semiconductor and other industries. We have 50 years of experience in vacuum technology and related equipment manufacturing. Our PVD product line includes oblique sputtering that enables precise film deposition with exceptional uniformity. These products can be adapted for a wide range of wafer sizes. Recently, we released following products: wafer bonding equipment and X-ray source for testing. Wafer bonding equipment employs a new technology that offers permanent bonding at room temperature and without application of high pressure. All kinds of wafers can be bonded using this technology. X-ray source for testing is a transmissive type microfocus source that is designed to enable high speed imaging. It offers high (4μL/S) resolution at 10W. It features self-diagnosis of X-ray tube life, is target maintenance-free, and warms up within 3 minutes.


http://www.classone.com

ClassOne Technology designs and manufactures new advanced wet-chemical process tools – electroplating tools, spray solvent tools, and spin rinse dryers - especially for the cost-sensitive producers of MEMS, power devices, RF, LEDs, photonics, sensors, microfluidics and other emerging technologies. We provide innovative new solutions for a range of applications on 3" to 8" substrates of many materials, including silicon, glass, sapphire, GaAs, GaN, Ge, InP and HgCdTe. ClassOne’s Solstice electroplating systems are available in either manual 2-chamber or fully automated, cassette-to-cassette 4- and 8-chamber configurations. The Solstice performs key processes such as Cu TSV plating, wafer-level packaging plating processes, low-stress Ni plating, cyanide and non-cyanide Au electroplating, in addition to other electroplating processes. ClassOne’s Trident Spray Solvent Tool (SST) is the next generation of batch-processing SSTs for metal lift-off, photoresist strip, polymer removal and other demanding solvent based processes.


http://www.csem.ch

CSEM is a private Swiss research and technology organization which delivers advanced technologies and exclusive R&D services to industry. Its operating model is based on a public-private partnership. This unique relationship with the Swiss Confederation gives the company a greater flexibility to address broad ranges of applied research areas to face the challenges of tomorrow, to pursue its commitment to innovation, and finally to ensure economic stimuli even in times of crisis.

CSEM offers its customers a full solution including MEMS, ASIC, system integration, packaging and testing, all with the guarantee of the utmost reliability. With its state-of-the-art cleanroom, CSEM provides industry with small-scale production of MEMS components as well as packaged microsystems. Expertise across the entire chain makes CSEM the right partner for silicon-based microfabrication. A 450-strong workforce with industrial backgrounds, mostly top-level engineers and holders of PhDs, dedicates its passion to technologies that make the difference.


http://www.dhk.co.kr

DHK Solution is a Korean joint venture founded with Disco Corporation as an exclusive distributor of Disco precision wafer processing equipment in Korea. We sell equipment and provide engineering support to our customers. We also develop wafer-dicing process and provide wafer processing service to worldwide fabless device developers and manufacturers. Our service includes wafer and die thinning, dicing and packing to customer’s needs. Our expertise gained from the beginning of the Korean semiconductor industry combined with Disco’s equipment and process technologies guarantees the highest quality wafer processing service over diversity of substrate materials, device structures and form factors. We are dedicated to provide the highest quality service to our customers worldwide from the initial process development to medium volume manufacturing service.


http://www.evatecnet.com

Evatec supplies complete thin film deposition equipment and process solutions to the advanced packaging, semiconductor, optoelectronics and photonics industries using a range of evaporation and sputter platforms according to customers’ process, throughput and fab integration requirements. Within semiconductor, and MEMS in particular, our sputter solutions on CLUSTERLINE® for piezoelectrics like Sc doped AlN are aimed at production of high volume devices like microphones and ultrasonic transducers and enable high SNR in numerous applications. Dynamic sputter solutions on LLS EVO II offer cost effective production of laminated soft magnetic stacks such as CTZ/FeCoB with tunable properties for on-chip inductors paving the way for lower power consumption at higher frequencies.


http://www.exatron.com

Building beyond our customers’ expectations since 1974, Exatron’s modular building block approach allows us to create custom handling, testing, and marking systems for any application, all designed, machined, and assembled in-house in San Jose, CA. We offer the unique combination of manufacturing both handlers and testers in one facility, including all custom software engineering and installation. From standalone machines to fully integrated, high-volume systems, Exatron’s diverse product line provides solutions for the semiconductor, medical, automotive, gaming, and solar industries. We also offer innovative systems for any MEMS application, including thermal, audio, air pressure/vacuum, electrical, impact, light sensing, light source, orientation, oscillators, tilt, vibration, and magnetic testing. Our extensive inventory of automated building blocks includes gravity feed, pick-and-place, conveyor, rotary, and tape and reel. We provide a wide variety of additional options as well, including dispensers, laser welders/markers, label applicators, machine vision, and bowl feeders, all fully integrated into Exatron’s in-house automation software.


http://www.frtofamerica.us

FRT is recognized as a valued partner for non-contact, optical metrology systems. FRT of America serves you by providing high quality automated measuring tools which fulfill your research, inspection and process verification needs. Delivering increased manufacturing yield, enhanced productivity, improved quality and product performance, because that’s what it’s about at the end of the day. The MicroProf TTV measures samples thickness, TTV, bow and warp for full thickness, thinned and bonded wafers and substrates. The MicroSpy Topo DT is a high-resolution 3D microscope with confocal and interferometric measuring modes for a wide range of applications.


http://www.stealthdicing.com

GDSI is the first true, bona fide service company in the USA to offer non-contact dicing using the Stealth Laser approach. Dicing represents one of numerous physical challenges with producing MEMS and sensor devices on a commercial scale. Stealth creates an internal scribe or modification layer in the material, eliminating the need to protect your device layer. Same day service is possible. 25+ years of experience supporting both NPI and production programs in the Silicon Valley. Special emphasis on custom thinning, dicing, automated pick and inspection of fragile parts with protection of the device in mind. ISO 9001:2015 registered with a robust quality management system. Offering consultation on mask layout so your MEMS wafer can accommodate Stealth Laser dicing. GDSI collaborates with several large IC foundries and MEMS manufacturing groups, focusing on yield improvement and design for manufacturability for your device.


http://www.geibrefining.com

Precious metal reclaim & refining - refiners of platinum, gold, silver, palladium, rhodium generated from solder dross, thick & thin film paste, gold wire, heat shields, jewelry, dental appliances, etc. Geib Refining is ITAR & ISO compliant.

Our clientele ranges from the US Federal Government to defense contractors to industrial plants to jewelry manufacturers to small R&D facilities.  No matter what the originating source, the commonality for lot settlements begins with 100% processing and highly accurate sampling and assaying.  Whether it's melting, incineration, milling, chemical stripping, or solutions recovery, all of your material is processed at Geib and professionally controlled.  As a precious metals generator, you must demand homogeneous refining; we meet your demands on each and every lot.


http://www.hadtek.com

Hadland Technologies provides high-quality, cost-effective, 3-D inspection services using X-ray computed tomography for use in research & development, manufacturing, failure analysis, and academia. X-ray CT is a nondestructive technique useful for material segmentation, porosity/inclusion analysis, geometric measurement, fiber composite analysis, and defect detection. Whether in R&D or final manufacturing, X-ray CT can be used to examine the internal structure of a product to ensure manufacturing conformance, to detect manufacturing process problems, or for failure analysis. With over 20 years of experience in microfocus X-ray technology, Hadland Technologies has partnered with the aerospace, electronics, automotive, medical, dental, pharmaceutical, defense, materials, and oil industries and academic institutions.


http://www.hkmems.com

Hanking Electronics focuses on MEMS design, fabrication and marketing MEMS products and related electronics components. Hanking has an R&D center in Cleveland, Ohio, and a world-leading MEMS R&D team. Hanking also has 5 locations in 4 countries around the world. Focused on MEMS sensor IDM, Hanking owns an 8-inch wafer MEMS fab. Hanking's products include MEMS pressure sensors, strain gauges, accelerometers, gyros, flow sensors, temperature sensors and humidity sensors. Hanking can be an OEM/ODM for a strategy customer, providing service from design to fabrication services. Hanking has passed the quality management system certification, including IOS90000 and ISO/TS 16949. Quality management systems integrate all core processes, including burn-in reliability, technology development and production process, process reliability monitoring during manufacturing. design service quality monitoring, customer satisfaction monitoring, etc. Hanking can ensure product uniformity and handle different customers’ different requirements.


http://himt.de/

Heidelberg Instruments is a world leader in the production of high precision photolithography systems and maskless aligners. We have more than thirty years of experience in maskless photolithography and are proud of our ever-expanding installation base of over 750 systems in more than 50 countries. Heidelberg Instruments systems are installed in academic and industrial sites in more than 50 countries and are used in research, development and production. Applications include MEMS, bioMEMS, nanotechnology, ASICS, TFT, micro optics and others.


http://www.imtmems.com

IMT is the premier independent MEMS technology and production services provider in United States. Since its start in 2000, IMT continues to offer the most complete turnkey services from design through high-volume production. As an acknowledged leader in complex MEMS, we offer our experience in a diversity of applications that include RF and DC switching; mirrors, microlenses, and optical benches for communications; drug discovery/delivery; biomedical implants and cell purifiers; microfluidics; valves and pumps; accelerometers; gyros; magnetic sensors; particle sensors; and gas and pressure sensors, among others. IMT’s comprehensive front-end wafer processing includes sub-micron photolithography, hermetic wafer-level packaging, isolated metal-filled through-silicon vias, wafer thinning/CMP, and extensive non-CMOS materials flexibility, complemented by unmatched metrology, FA, and testing capabilities. Speak with an IMT representative to see how we can make your MEMS work for you.


http://www.insurftech.com

Integrated Surface Technologies (IST) designs and manufactures Surface Modification Systems for the MEMS and Biotech industries. Organic and Inorganic molecular films can be deposited in your lab or factory by using IST’s sub-atmospheric, low temperature vapor and plasma enabled deposition equipment. It can fulfill every level of surface engineering requirements for the industry. From bench top systems for R&D and pilot production, to full size manufacturing systems, IST supplies the equipment, the chemistry and engineering services. Typical applications include: anti-stiction monolayers, adhesion promoters, release layers, hydrophilic-to-super-hydrophobic coatings, atomic layer deposition (ALD) of metal-oxides, and many other customer specific films. Our engineers can help you to select the best chemistry for each specific application. The correct chemistry is then delivered in cartridges for easy change over in the systems, to keep production running and for R&D flexibility.


http://www.kstworld.co.jp

KST World Corp. services as a wafer foundry providing various services, especially super thick oxide film up to 12 inch size over 25um, with patented technology for over 20 years. Using thick oxide film technology, we provide unique thick BOX SOI wafers, not only normal SOI wafer or cavity SOI wafer. Today, KST World’s unique and advanced technologies meet and drive customer’s technological requirements in worldwide market.


https://www.kyodo-inc.co.jp/english

Kyodo International is MEMS pure play foundry, contract manufacturer and total solution provider for MEMs devices such as sensors, biomed devices, IOT devices and optical devices for variety of applications. Kyodo also provides custom solutions and services in thin films, wafer polishing (CMP), dry and wet etch, nanoimprint lithography mold design and patterning, wafer bonding and so on. Kyodo supplies sputtering targets and target bonding services as well. We at Kyodo International provide total Nano-imprint related services from mold fabrication, antisticing coating, imprint service, mold replication to others applying semiconductor manufacturing technologies. Our advantage is that we could totally support customer R&D works to meet with our customer needs decreasing R&D cost. We offer MEMS prototyping to volume production services to sensors and IOT start-ups, academia, government, defense, space, consumer and biomedical industry. Kyodo is well represented in Silicon Valley serving United States and Canadian markets.


http://www.lithoglas.de

Lithoglas designs, and manufactures structured glass thin film solutions, and wafer-level glass caps and silicon cap substrates. Our wafer-level hermetic structured borosilicate glass layers to MEMS, MOEMS or optoelectronic devices, for the passivation or encapsulation of electronic components and semiconductors. The sensitive chip areas are sealed directly by glass thin film deposition or glass cap encapsulation on wafer-level. This enables use of plastic-molded packages to a high reliability level for replacing costly conventional packages like glass-metal or ceramics. Lithoglas passivations provide a direct hermetic and transparent sealing of the chip surface to promote cost-efficient packages of reduced size, while our substrates are glass capping solutions bonded at the wafer-level to enable chip size packages, and can also be designed to fit for conventional chip-on-board packaging. In addition, Lithoglas wafer-level-capping provides the advantage of placing several thousand glass caps simultaneously with high precision in a clean-room environment assuring high quality and a minimum defect rate.


http://www.lpkf.com

LPKF Laser & Electronics AG is an internationally operating specialist in micro-material processing. With branches and representative offices in 79 countries, more than 700 employees and 24/7 service worldwide, LPKF relies on advanced technology, extensive specialized knowledge and outstanding service quality. LPKF produces machines and laser systems which are used in electronics manufacturing, medical technology, the automotive industry and in the manufacture of solar cells. Even after more than 25 years of intensive work with laser systems, laser technology has lost none of its fascination. LPKF has become a market leader during this time: through its core expertise in laser micro-material processing, optics, laser, control and drive technology, systems are created that ensure particularly economical production processes and new products. The products and services cover the following areas: electronics development equipment, electronics production equipment, and other production equipment.


https://www.lynceetec.com

Lyncée Tec is the world pioneer and leader of patented Digital Holographic Microscope (DHM®) technology, based in Lausanne, Switzerland. It offers matured DHM® based holographic microscopes for 4D (time-sequence of 3D) profilometry with unrivalled non-scanning acquisition speed, sub-nanometer resolution and in-situ process characterization for both in-plane and out of plane at the same time. Applications include MEMS analyzer, high-throughput semiconductor inspection, microfluid, liquid crystal and bio living cell etc. For MEMS dynamic characterization, DHM combined with stroboscopic unit enables full-field 3D measurements without any XYZ scanning, including frequency scan, in-plane and out of plane vibrations, impulse response detection. Advanced data processing software provide 3D dynamic video, 3D tracking on large in-plane motion device, and device vibration maps for full-field motion amplitude and phase distribution. Samples could be in vacuum, environment chamber of temperature control, or liquid immersion. Fast and economic data collection rate present DHM as a powerful in-line inspection tool for MEMS manufacturing and qualification."


http://mems-foundry.com

MEMS Foundry offers standard and customized bipolar and bicmos wafer foundry processes on 100mm and 150mm wafers. MEMS Foundry has the experience and expertise to develop custom semiconductor process flows around a MEMS smart sensor, resonator or optical device starting from a pure R & D concept to volume production. Located in the heart of Silicon Valley, MEMS Foundry is ideal for customers who need to develop a full custom MEMS product requiring a unique process flow. MEMS Foundry offers Process Integration of many types of sensors requiring nonstandard process flows otherwise unavailable from high volume wafer fabrication entities. MEMS smart sensors are used in avionics, automotive, communications, computing, defense, medical, military, optoelectronics, telecommunications, space and wireless applications. Smart MEMS are built in USA which is an added benefit to customers with proprietary IP. Customers with special process needs and small volume runs are welcome. MEMS Foundry is a self-sufficient, vertically integrated wafer fabrication based in Silicon Valley. MEMS Foundry has recently upgraded its toolset capabilities with a 5X stepper capable of processing 250 micron to 700-micron thick wafers, two Ion implanters and several dry etchers. MEMS Foundry customers have the option for monolithic integration with BIPOLAR, BICMOS or CMOS as well as package-level integration. Fabless customers can take advantage of the rare set of semiconductor manufacturing capabilities at MEMS Foundry to develop and manufacture of a wide range of MEMS smart sensor products.


http://www.micralyne.com

Micralyne is a leading independent MEMS foundry, and volume manufacturer of novel MEMS devices with over 30 years of MEMS development and manufacturing expertise. Micralyne’s fabrication solutions have been used in MEMS sensors for precise measurement devices, MEMS optical switching technology, lab-on-a-chip components, micro-needles, pressure sensors, gas sensors, accelerometers, thermal imaging sensors, and microfluidics. We are both ISO9001 and ISO13485 certified. Micralyne’s MEMS foundry model allows clients to access enhanced services such as validated MEMS technology processes and platforms, advanced packaging – WLP, TSV, TGV, discrete and custom sub-assembly services. This foundry model has successfully produced products for industries such as: bio-medical, aerospace, automotive, oil and gas, telecom, and industrial sensors. Micralyne offers our customers a strategic partnership with deep technical knowledge and fabrication capabilities coupled with an integrated quality and project management support. Connect with us today and leverage our experience to manufacture your MEMS devices.


http://www.micrel.com

Located in San Jose, California, Micrel has to date completed foundry work for several customers and has completed successful MEMS prototypes of accelerometer, microphone, pressure sensor, inkjet, microprobe, and bioMEMS devices. In 2011, Micrel installed additional MEMS foundry manufacturing capabilities, including DRIE, low-stress Nitride, thin-wafer, front to back alignment, etc. In 2014 Micrel completed its installation of a EVG Gemini wafer bonder, along with HF release capabilities. Micrel has qualified TSV capabilities and equipping itself for emerging MEMS technologies in order to meet future customer needs.


http://www.microchem.com

MicroChem Corp is a leading manufacturer of electronic materials that focuses on providing innovative chemicals solutions to the MEMS and other microelectronic markets. We offer a broad suite of products including SU-8 permanent epoxy resists for microfluidic and RF MEMS applications and PMGI and LOR resists for additive lift-off processing. MicroChem is introducing several new materials targeted towards MEMS packaging, including PermiNex, a low temperature, permanent, photoimagable wafer bonding adhesive, MicroSPDF, a low stress, photoimageable encapsulation/passivation material with excellent dielectric and thermal properties, and SU-8 3000 CF DFR dry film resist, developed to be low halogen and antimony-free and intended for fabrication of chemically and thermally stable structures such as walls and capping layers in cavity packaging. MicroChem is a wholly owned subsidiary of Nippon Kayaku Co. Ltd., Tokyo, Japan.


http://www.milestonefalab.com

Milestone Technology provides failure analysis services for the semiconductor, LED, MEMS, medical device, solar, electronics, optical, disk drive, coating, ceramics, and metal industries.

We specialize in ultra-high resolution SEM, EDX, quantitative analysis, particle analysis, surface contamination, FTIR, metallography, dye pry testing, precision cross-sectioning and more.


http://www.muegge.de

MUEGGE GmbH is an internationally leading manufacturer and provider of industrial microwave heating and microwave plasma systems and of the related components. For more than 25 years, MUEGGE has developed, produced and supplied high-quality microwave continuous wave (cw) and pulse solutions for applications which require power ranges of 300W to 100kW of single microwave power systems at frequencies of 5800MHz, 2450MHz and 915MHz. MUEGGE continues to develop and integrate industry-leading microwave power solutions that are optimized and integrated into state-of-the-art and future-oriented technologies. The most demanding requirements are met by MUEGGE's advanced systems, plant and process technologies, resulting in an integrated concept that is based upon the scientific and technological experience of the ISO 9001:2008 certified company. MUEGGE offers high voltage, microwave and plasma sources for various sizes, power levels and applications. MUEGGE product developments include large area linear plasma sources, remote plasma sources, modular plasma arrays and various small, medium and large area industrial heating applications. Field offices and partner companies are located in the USA, Japan, Taiwan and China, providing international field service and technical support. Since the end of 2008, MUEGGE is incorporated into Roth&Rau AG, which itself is part of Meyer Burger Technology AG since 2011.


Since 1980, Nikon Corporation has been revolutionizing lithography with innovative products and technologies. The company is a worldwide leader in semiconductor lithography systems for the microelectronics manufacturing industry with more than 8,000 (semiconductor) lithography systems installed worldwide. Nikon offers the most extensive selection of production-class steppers and scanners in the industry. These products serve the semiconductor, flat panel display (FPD) and thin-film magnetic head (TFH) industries. The extensive Nikon product portfolio includes specialized lithography solutions for MEMS, LED, and packaging applications as well. Nikon Precision provides service, training, applications and technical support, as well as sales and marketing for Nikon lithography systems worldwide.


Since 1974, Nordson Sonoscan, the leader in acoustic microscopy (AM), has manufactured systems in the USA and regional support laboratories in Asia, Europe and the USA for AM services. Nordson Sonoscan’s proprietary C-SAM® technologies have set the standard for over 40 years. Nordson Sonoscan provides non-destructive analysis for process control and quality assurance to safeguard your MEMS products as it accurately detects defects and process variations. Nordson Sonoscan offers instruments for the laboratory and automated test systems for front, mid and back-end microelectronics, including the AW series for various wafer configurations and the FACTS2™ DF2400™ for scanning MEMS devices in trays. Collaboration with Nordson Sonoscan customers and industries has led to many innovations, patents and standards over the years. Specific to the MEMS industry, transducers were developed and built by Sonoscan for bonded wafers, hermetic/cap seal, lab-on-chip and MEMS packaging inspection, plus automated accept/reject methods for die and cavity seal mapping of a wafer.


OnScale is the world’s first Solver-as-a-Service platform – a combination of advanced computer-aided engineering (CAE) multi-physics solvers with a scalable Cloud high-performance computing (HPC) engine. OnScale breaks performance barriers for engineers by providing near-limitless Cloud HPC resources to solve today’s toughest engineering challenges. OnScale also breaks cost barriers for engineering teams of all sizes by providing world-class CAE multi-physics solvers and Cloud HPC on a subscription-based pay-as-you-go pricing model. With OnScale, engineers can run massive multi-million degree-of-freedom multi-physics simulations and vast numbers of simulations in parallel to optimize systems like ultrasonic transducer arrays for near-field 3D object classification for ADAS applications very quickly with minimal cost. Design studies that were once impossible with legacy CAE tools and on-premise HPC are now possible.


http://www.pen-tec.com

Pentagon Technologies, Inc. is a leading provider of mission-critical manufacturing support services and contamination detection and protection products to the semiconductor, microelectronic, MEMS, life science and solar industries. Pentagon’s collaborative approach with customers, proprietary services and products regularly provide improvements in manufacturing cost of ownership.


Plan Optik AG is the leading manufacturer of structured wafers when it comes to technology. In sectors such as consumer electronics, automotive, aerospace, chemistry and pharmaceuticals, these wafers are essential components used as active elements for numerous applications in MEMS technology. The wafers of glass, glass-silicon compounds or quartz are available in sizes up to 300 mm diameter. Wafers by Plan Optik provide high-precision surfaces in the ångström range, which are achieved through the use of the MDF polishing process developed by the company. Plan Optik wafers are available to minimum tolerances with application-specific structuring and complex material combinations. Plan Optik AG's extensive experience in the integration of optical, electronic or chemical functions within a wafer as the basis of MEMS applications has made the company the preferred partner of large international manufacturers.


Plasma-Therm® is a leading provider of advanced plasma processing equipment. Plasma-Therm systems perform critical process steps in the fabrication of integrated circuits, micro-mechanical devices, solar power cells, lighting, and components of products from computers and home electronics to military systems and satellites. Specifically, Plasma-Therm systems employ innovative technology to etch and deposit thin films. The company's Mask Etcher® series for photomask production has exceeded technology roadmap milestones for more than 15 years. Plasma-Therm’s Singulator® systems bring the precision and speed of plasma dicing to chip-packaging applications. Manufacturers, academic and governmental institutions depend on Plasma-Therm equipment, designed with “lab-to-fab” flexibility to meet the requirements of both R&D and volume production. Plasma-Therm's products have been adopted globally and have earned their reputation for value, reliability, and world-class support. Customers consistently rank Plasma-Therm among the top equipment suppliers, with multiple awards in the annual VLSIresearch Customer Satisfaction Survey, including being named “Ranked 1st” Etch and Clean Equipment Supplier five years in a row.


http://www.polytec.com

Polytec is a world leader in optical measurement systems with a wide variety of solutions for product development and research in micro- and nanotechnology applications. Whether you want to efficiently measure MEMS right on the wafer-level, test prototypes for process optimization, characterize cMUTs, pMUTs, SAWs and BAWs in real-time, validate models, conduct extensive and precise micromechanics analysis, test the reliability and service life of MEMS, or characterize MEMS in biology and medicine, we have a custom solution for you. Our newly released MSA-600 Micro System Analyzer enhances the quality and performance of static and dynamic characterization and visualization of MEMS devices and microstructures: validate FE models, detect the effects of cross-talk and the finest profile deviations early on. This optical measuring station offers non-contact surface characterization and vibration analysis in-plane and out-of-plane. In addition to the MSA-600, our MSA-100-3D system features 3D laser vibrometry for dynamic characterization of MEMS. The MSA-100-3D enables real-time measurement of tri-axial motion with picometer resolution and MHz bandwidth. Automated scan measurement capability provides 3D animations for visualization of complex deflection shapes. Our technology and custom solutions are widely used in the MEMS research community.


http://www.lqa.com

Quantum Analytics is a value-added distributor, offering customized in-line production control and R&D metrology solutions. Financing options, technical services, including cross-platform system integration, installation, training and support come standard. We have partnered with a number of equipment manufactures to deliver contact-free, non-destructive, high-speed solutions to help keep pace with the growing demand for accurate process control. With platforms ranging from table-top to fully-automated systems, we offer flexible, configurable systems to address specific application needs. The digital holographic microscope (DHM®) allows direct full-field measurements of static and dynamic 3D topography at unrivaled speeds, without any vertical or lateral scanning. This unique acquisition mode makes the DHM® very robust against environmental production vibrations and noise. Its ability to observe and measure energized MEMS devices in real time makes it ideal for the investigation of dynamic processes.


http://www.revasum.com

At Revasum, we are dedicated to helping our customers succeed. Whether the goal is to improve performance, reduce costs, or increase productivity, we are diligent in our efforts to provide CMP and grinding solutions to meet these needs. As your requirements change, we want to help develop and further optimize your processes. We are likely to become an extension of your team. Revasum is a new company that acquired the technology from Strasbaugh. Using this technology, we will develop new targeted CMP and grinding equipment. Located in San Luis Obispo, CA, Revasum offers new and certified refurbished systems for sale worldwide.


The SAES Getters Group is the world leader in a variety of applications where vacuum, hermetic or ultra-high purity gas conditions are required. SAES Getters provides products that allow customers to achieve lifetime device integrity of their MEMS devices. There are many configurations of Getter solutions available for integration inside a device ranging from SAES' thin film page material deposited on lids or wafers, hydrogen only absorbing thin materials deposited on lids or sheets to porous Getters that are installed inside devices.


http://www.scia-systems.com

scia Systems manufactures advanced ion beam and plasma processing equipment. The systems are used in production of microelectronics, MEMS and precision optical components, in both high volume production as well as research and development environments. The industry-proven “scia Trim 200” system is used for frequency and thickness trimming to Angstrom precision in manufacturing of BAW/SAW devices. Another key product is the “scia Magna 200”, used in the deposition of SiO2 temperature compensation films and piezoelectric films such as AlN. The “scia Magna 200” delivers high uniformity, high deposition rates, and excellent material properties, due to its unique Double Ring Magnetron architecture. The tools are flexible and modular in design, allowing several vacuum process chambers to be combined into cluster or in-line layouts, according to customer-specific requirements. scia Systems provides highly reliable tools together with superior technology, process, and service support.


http://www.semsysco.com

SEMSYSCO is the semiconductor equipment manufacturer specializing in wet and vapor chemical process technologies, utilized in semiconductor and many other high-tech applications. Our highly experienced staff has been working in the semiconductor industry for over 25 years and has been responsible for much of the wet-process innovations, utilized in leading FABs world-wide. SEMSYSCO's product portfolio fully addresses today's etching, cleaning, electroless & ECD plating, and PR stripping challenges, for both batch and single wafer processing. Our technical partners include IME, Fraunhofer, Atotech and Ancosys. Headquartered in Salzburg, Austria, SEMSYSCO is your global partner for all wet-process solutions and maintains sales and service support centers throughout Europe, Asia and the USA. SEMSYSCO applications cover: advanced packaging; UBM etch - PR strip, Cu pillar & TSV ECD plating, ENEPIG, and an all wet TSV approach; FEOL - ozone post ash clean, oxide & metal etch, PR strip; BEOL - metal etch, barrier etch, PR strip, ECD; MEMS - porous silicon etch, HF etch, PR strip, ECD, oxide etch, metal etch.


http://www.siconnex.com

Siconnex is a global leading equipment manufacturer for the semiconductor and related industries. Siconnex provides surface preparation equipment and processes, including WET BATCHSPRAY systems (etch, clean, resist strip) for the III-V semiconductor, MEMS, wireless, power, energy harvesting, WLP, data storage and logic industries.

The process technologies at Siconnex help to make innovations like smartphones, solar panels and power electronics more affordable and accessible to consumers and businesses around the world. Siconnex systems are leading when an extremely small footprint, safety, full automation, high throughput and economical resource consumption is important.


http://www.silexmicrosystems.com

Silex Microsystems is the world’s largest pure-play MEMS foundry enabling the world’s most innovative companies to participate in the Sensors Everywhere revolution. MEMS development requires deep specialized knowledge and expertise as a new device goes from prototype to qualification to mass production. Silex is dedicated to bringing customers quickly, cost-effectively and reliably through the product development cycle with: expertise in rapid commercialization of MEMS products, innovation that delivers transformative MEMS manufacturing capabilities, responsive collaborative partnering driven to enable customers’ success from project inception to volume production and proven, stable, high-volume MEMS manufacturing capacity.


http://www.sono-tek.com/photoresist-coating

Sono-Tek Corporation provides precision ultrasonic coating systems for the semiconductor industry depositing uniform, repeatable thin film photoresist or polyimide layers onto various surface profile substrates. With control of thickness from submicron to above 100 microns and the ability to coat any shape or size, Sono-Tek’s coating systems are an excellent alternative to other coating techniques like spin and traditional spray. Common applications for photoresist and polyimide coatings include but are not limited to MEMS, lenses, microfluidic devices, microelectronics, and filters. Sono-Tek’s coating systems are able to coat both flat and 3D substrates such as silicon wafers, glass, ceramic, and metal. Sono-Tek has been providing ultrasonic coating solutions to companies around the globe since 1975. Visit our website or email info@sono-tek.com for more information.


http://www.springer.com

Springer is a leading science and technology publisher and database provider for the MEMS and Semiconductor industry. Springer offers authoritative eBooks, eJournals, and SpringerMaterials database for businesses and corporations innovating anywhere in the supply chain of the MEMS industry, from foundries through end devices. Springer’s content is of high relevance for researchers, developers and engineers designing applications for diverse commercial markets such as IoT, wearables, autonomous vehicles, robotics, digital health, precision agriculture, smart home, environmental monitoring, and many others. SpringerMaterials is the world's largest database for physical and chemical properties in Materials Science and where leading companies source materials, processing technology, characterization methods, or novel architecture, and more. Contact us for more information RD@springer.com or visit springer.com/RD


http://www.strasbaugh.com

Strasbaugh manufactures CMP and grinding equipment for use in the production of nanotechnology for the Internet of Things (IoT), mobile computing platforms, LED lighting and a wide array of semiconductor devices. Since Strasbaugh was founded in 1948, the company has helped its customers realize their performance targets and has built a reputation as a world-class manufacturer of innovative, reliable, high-yield surfacing solutions. Located in San Luis Obispo, CA, Strasbaugh offers new and certified remanufactured systems for sale worldwide. For more information, please visit Strasbaugh.com.


https://www.suss.com

SÜSS MicroTec Group is a leading supplier of equipment and process solutions for microstructuring applications with more than sixty years of engineering experience. Our portfolio covers a comprehensive range of products and solutions for backend lithography, wafer bonding and photomask processing, complemented by micro-optical components. Product and solutions include: photomask equipment, coater and developer, mask aligner, projection scanner, laser processing systems, wafer bonder, micro-optics and remanufactured equipment.


http://www.teikoku-taping.com/

Teikoku Taping System has been a major equipment supplier in the semiconductor industry for more than 25 years. TTS specializes in designing, developing and manufacturing of semiconductor equipment used for MEMS device processing. TTS is a leader in dry film resist lamination for front end processing and back end equipment for back grind tape lamination, dicing, tape-and-die attach film mounting and UV irradiation capability for UV release tape. Customers will be assured because we are here to assist you throughout your purchase. TTS will provide support for processing set up and service after installation of TTS tools. Teikoku Taping System has three main focus areas: taping (“haru”), de-taping (“hagasu”) and handling (“hakobu”). Engineering support and demo capabilities for the Americas are in Phoenix, AZ.


http://www.tel.com/

As a leading global supplier of semiconductor and flat panel display (FPD) production equipment, Tokyo Electron Limited (TEL) engages in development, manufacturing, and sales in a wide range of product fields. All of TEL's semiconductor and FPD production equipment product lines maintain high market shares in their respective global segments. TEL provides outstanding products and services to customers through a global network of approximately 75 locations in 16 countries in the U.S., Europe, and Asia.


http://www.ulvac.com/services/MEMS-Foundry.cfm

ULVAC Technologies, Inc. (ULVAC) was established in 1992 as the US subsidiary of ULVAC, Inc. Headquartered in Methuen, Massachusetts, ULVAC provides a broad portfolio of manufacturing equipment for the vacuum, materials and thin film industries. ULVAC's solutions diversely incorporate equipment, materials, analysis, and services for flat panel displays, electronic components, semiconductors, MEMS and general-industry equipment. In addition, the Methuen facility is equipped with a class-10 cleanroom for process development, customer demonstration and manufacturing of the ENVIRO solvent-free dry photo resist stripper and compound semiconductor materials etch systems. Other in-house services include foundry etch (for deep oxide and compound semiconductor materials), thermal processing, materials characterization, and vacuum pump/leak detector repair. ULVAC supports a variety of MEMS production technologies, for applications ranging from micro sensors to flow channel modules, optical switches, and bio-MEMS.


http://www.unisemgroup.com

Unisem is a global provider of semiconductor assembly and test (OSAT) services for many of the world’s most successful electronics companies. We offer an integrated suite of packaging and test services such as wafer bumping, wafer probing, wafer grinding; a wide range of leadframe and substrate IC packaging; wafer level CSP; and RF, analog, digital, and mixed signal test. Our turnkey services include design, assembly, test, failure analysis, and electrical, mechanical, and thermal characterization and modeling.

Unisem is an established MEMS OSAT with several years of experience in volume consumer and automotive production, in addition to a broad package portfolio covering multiple applications. The company has factory locations in Ipoh, Malaysia; Chengdu, People’s Republic of China; Batam, Indonesia; and Sunnyvale, USA. Unisem is headquartered in Kuala Lumpur, Malaysia.


http://www.vatvalve.com

VAT is a global company with its headquarters in Haag, near St. Gallen in Switzerland. We are the global leader in the development and manufacture of vacuum valves, modules, bellows, and related services for the semiconductor and vacuum coating industries as well as for the research sector. We employ over 1,100 people worldwide with a fifth of our staff working in research and product development. Our customer-facing activities are organized into 3 regions: the Americas, based in Massachusetts and California; EMEA, based in Munich; and Asia. Each region has sales, service and engineering support across the major countries of the region to ensure our customers get the best professional advice, around the clock. Our products are mainly used in the areas of: semiconductor, flat-screen and photovoltaic panel manufacturing, coating of glass and tools, metallurgy, surface analysis, high energy physics, synchrotrons, laser technology, and space travel simulation.


http://www.silicon-wafers.com

WRS has manufactured SOI for several years. Our direct bonding and SOI manufacturing process is similar to that being used by several other SOI manufacturers, however WRS has developed its own edge treatment process with precise control of edge terrace shape, width and thickness. WRS can thin and polish down to 50um.


http://www.xfab.com

X-FAB MEMS Foundry offers unsurpassed experience, expertise and execution with its high-volume MEMS manufacturing service. X-FAB draws on more than 20 years MEMS manufacturing experience and continues to meet the new demands for this rapidly expanding group of technologies in terms of time to market, quality assurance, high yield and supply-chain management tools. Operating from six fabs for MEMS and CMOS processes and an ecosystem of manufacturing and design partners, X-FAB is the proven choice for process development and installation, process capability, design support and long-term manufacturing stability. As well as customer specific process installation, X-FAB offers a range of qualified, in-production, open-platform processes and IP blocks for key MEMS types.


http://www.yieldengineering.com

Meeting the stringent demands of companies worldwide, Yield Engineering Systems, Inc. (YES) manufactures processing equipment with cost-effective solutions for wafer-level packaging/redistribution layers, bioMEMS, semiconductor industries and more. YES manufactures high temperature vacuum cure ovens, polyimide cure ovens, silane vapor phase deposition systems, plasma etch and clean tools and vacuum bake/vapor prime ovens; Our proven applications include silane substrate adhesion for microarrays, biocompatibility, stiction reduction, wafer dehydration and surface tension modification. Designing and building products that increase yields, extend performance, and improve processes; all equipment is engineered, manufactured and tested in Livermore, California USA. The answer is YES to quality, flexibility, superior products and service.


https://www.zygo.com

Improve productivity and product quality through advanced process control of precision surfaces with non-contact optical profilers from ZYGO. Our innovative metrology solutions provide rapid, quantitative 3D surface metrology of roughness, form, films, steps and dimensional features – without contact or surface preparation. Precisely measure a wide range of surfaces, our advanced systems are capable of providing comprehensive surface characterization of thick films, optics, ceramics, advanced materials, precision surfaces, MEMS, microelectronics, and more.