Many thanks for your interest in MEMS Manufacturing 2022! It was a fantastic online conference with 190+ participants and we look forward to seeing you at our upcoming online events.

You can still register to access the recorded presentations and slides in electronic format from MEMS Manufacturing 2022. click here to register and then you’ll receive a link to download the conference materials. For more information or if you have any questions, please contact Jessica Ingram at jessica@microtechventures.com.

Speakers and Discussion Leaders

(listed in alphabetical order, by speaker’s company name)

Fabrication Process Technologies and Requirements for Deep Silicon Micro-Speakers
Holger Conrad
Head of MEMS Production and Development
Arioso Systems

Integrated Functional Devices on Complex Surfaces with Direct Write Atomic Layer Deposition Technology Maksym Plakhotnyuk, PhD
CEO
Atlant 3D Nanosystems

Enabling Miniaturization with Micro 3D Printing
John Kawola
CEO
Boston Micro Fabrication

Thin Film PiezoMEMS Fabrication: Emerging Materials, Processes, and Techniques
Gwenael Le Rhun
Project Manager and Sr. Expert on Piezoelectric Material Integration
CEA Leti

MEMS Fabrication Platform for Navigation-Grade Gyroscopes
Philippe Robert, PhD
Business Development Manager
CEA Leti

Pathway for Reliable Metallized Through-Glass Vias
Chukwudi Okoro, PhD
Sr. Research Scientist
Corning

MEMS Planarization CMP Processes: Emerging Techniques and Applications
Norio Kamitsubo
General Manager
D-Process

Manufacturing Paradigm Shift and 3D Printing in Journey to Trillion Sensor Nodes
Janusz Bryzek, PhD
Executive Chairman and Chief Visionary Officer
Exo Imaging

Manufacturing Process Challenges for MEMS Based Holography SLM Devices
Christoph Hohle, PhD
Team Manager, Engineering - Surface MEMS
Fraunhofer IPMS

Managing a High-Mix and Low-Volume MEMS R&D Fab by Applying KPIs
Thomas Zarbock
Division Director for MEMS Engineering, Manufacturing and Test
Fraunhofer IPMS

MEMS Fabrication and Supply Chain in Asia
Doug Sparks, PhD
CTO
Hanking Electronics

Fabrication of MEMS Based Sensors and Actuators: From Silicon Wafers to Glass Panel Integration
Xavier Rottenberg, PhD
Fellow
Imec

Fabrication of Implantable MEMS Sensors
Ariel Cao
CEO
InjectSense

Making MEMS Design Easy: From Simulation to Product
Philipp Mehner
Product Manager
i-ROM

Nanoimprint Lithography: Emerging Techniques and Applications
Jayant Patil
Business Development Manager
Kyodo International

Through Glass Vias in MEMS Processing
Aric Shorey, PhD
Director of Glass Technology
Menlo Micro

MPD: A Novel Wafer-Scale Nanoparticle Deposition Process for 3D Microstructures
Thomas Russell
CEO
Mesoline

Lithography for MEMS Applications: Emerging Process Trends and Developments
Keith Cooper
Technical Sales Engineer
Neutronix

Advanced Silicon Wafers with Built-in Patterns Adding Value in MEMS Volume Production
Jani Karttunen
Product Manager for Patterned Products
Okmetic

CMUT Fabrication Platform: Combining Performance and Volume Manufacturing
Paul Bekkers
Business Development Manager
Philips Innovation Services

Reaching Silicon-Based NEMS Performances with 3D Printing Technology
Stefano Stassi, PhD
Assistant Professor
Politecnico di Torino

Getter Films Integration in High-Volume MEMS Fabrication Processes
Marco Moraja, PhD
Business Manager for Getters and Dispensers
SAES Group

Advanced Packaging for MEMS Based Sensors and Actuators Using Thin Glass
Ulrich Peuchert, PhD
Global Business Development and Product Manager
SCHOTT

Monolithic CMOS MEMS Fabrication: Techniques, Challenges, and Applications
Arjun Kumar Kantimahanti
Sr. Vice President of Technology Development
SilTerra

Next-Generation Fabrication Processes for MEMS and Sensors
Steve Kosier, PhD
CTO
Skywater Technology Foundry

Piezoelectric Materials for Fabricating Laser Beam Scanning MEMS Devices with Performance Scalability
Andrea Onetti
Analog and MEMS Group Vice President
STMicroelectronics

Piezoelectric Thin Films Processes for MEMS Fabrication
Hiroshi Miyajima, PhD
General Manager, MEMS Solutions
Sumitomo Precision Products

Wafer Level Monolithic Fabrication of Micro-Optics
Davide Lomellini
Area Sales Manager
SUSS MicroOptics

MEMS Manufacturing Processes: Trends, Innovations, and Emerging Techniques
Romain Fraux
CEO
System Plus Consulting

Quality Planning and Assurance in MEMS Manufacturing
Jayson Loewen
Senior NPI Manager
Teledyne

High Throughput Ashing for MEMS Manufacturing
Yin Xu
R&D / Product Manager
ULVAC

Simple, Cost-Effective Techniques for Rapid Prototyping 3D Printed Microfluidics and Microdevices
Brian O'Grady
Postdoctoral Researcher
Vanderbilt University

Trends in MEMS Manufacturing and Evolution of MEMS Foundries Capabilities
Dimitrios Damianos, PhD
Sr. Analyst, Imaging and MEMS
Yole Developpement


Past Speakers

Many thanks to our speakers from MEMS Manufacturing 2018.

Flexible Hybrid Electronics and MEMS Integration: Applications, Challenges, Approaches, and Technology Gaps
Wilfried Bair
Vice President of Engineering
NextFlex

Flexible hybrid electronics (FHE) are a novel and supply chain disrupting approach to electronic systems. In FHE applications, a thin plastic film replaces the rigid printed circuit board where the FHE board is encapsulated to create the overall device. Being bendable and conformal in combination with light weight, FHE enables new ways of deploying electronic devices. FHE as “peel and stick” electronics use additive manufacturing and 3D printing for electrical interconnects. One of the primary applications of FHE devices is their use as a sensor platform that is easy to deploy. Both printed sensors as well as CMOS based sensors have been demonstrated on FHE platforms. MEMS sensors are key components for a wide range of consumer, medical, industrial, and automotive applications and integration on FHE will be needed for multi-sensing applications such as body wearable navigation, environmental sensing, as well a human monitoring for fitness and medical use. By their nature, MEMS devices are not well suited for thinning and most designs do not allow flexing or bending. In this presentation, possible FHE integration options will be shown along with technology gaps for MEMS integration.

Biography: Wilfried Bair is responsible for device integration and system level hardware projects for NextFlex as the Vice President of Engineering. Prior to joining NextFlex, Wilfried was VP of Business Development for Tango Systems where he developed strategic partnerships and led them to enter the two fastest growing semiconductor packaging market segments, establishing them as a market leader. Previously, as VP of Strategic Business Development for SUSS Microtec AG, Wilfried provided leadership to business units, identifying disruptive technology gaps, new marketing opportunities and acquisition targets. Other positions held at SUSS were GM, North American Operations, and Division Manager of Wafer Bonders. Wilfried’s technical expertise spans leading edge packaging processes, next generation solar, and energy storage, semiconductor equipment and process, as well as device expertise for automotive, consumer, and medical applications. Wilfried holds advanced degrees in Manufacturing and Production Planning Systems, Organizational Development, and International Marketing from the University of Linz in Austria.


Blockchain Applications in the MEMS, Semiconductors, and Electronics Industries
Christophe Begue, PhD
Director Solution Strategy and Business Development
IBM

Blockchain technology is currently getting lots of attention across many different industries. IBM has already implemented blockchain based solutions across various business functions, internally as well as with external clients. Based on this work, we have identified a set of use cases for blockchain based solutions that all MEMS, semiconductors, and electronics companies should consider and that we are currently deploying. Key use cases cover provenance (the tracking of components and products origination across the supply chain), supply chain visibility and procurement, and supplier information sharing, what we are calling “know your party”. With blockchain we also see an opportunity to solve industry-wide challenges by bringing together key leaders in a consortium organization focused on increasing trust and eliminating inefficiencies across the supply chain. This talk will start with an introduction to blockchain technology and how it applies to business operations, and then dive into specific industry applications, including tangible examples of implemented solutions.

Biography: Christophe Begue has twenty years of experience in business transformation, solution selling, and in the development of vertical solutions for electronics, high-tech, and manufacturing Industries. He has proven expertise in creating and scaling vertical solutions for specific industries on top of emerging horizontal technologies such as AI, machine learning, big data, analytics, IoT, cloud computing, blockchain, and others. He works to capture and anticipate specific industry requirements, develop use cases, lead agile development of initial solutions with early adopters, rapidly replicate and scale early successes into mature solutions, productize industry specific assets, and partner with system integrators and ISVs for differentiation and scale. He has managed global software development and consulting project teams. He was instrumental in developing IBM Supply Chain Management practice winning some of the largest global supply chain solution implementations in electronics industries. Before IBM he managed supply chain consulting projects at Philips Center for Manufacturing Technology. He is a member of IBM Industry Academy.


MEMS Supply Chain Challenges Facing the 200mm/150mm More than Moore Equipment Market
Simon Binns
Product Line Manager
Applied Materials

Devices and applications which do not follow Moore’s law are increasing in importance in today’s semiconductor product landscape. Defined as “More than Moore” (MtM), this category includes a variety of sensors and MEMS devices. These components are made primarily in ≤200mm fabs and utilized in a wide variety of end-user applications ranging from smartphones to commercial drones. The growth, especially in the last several years, in automotive, communications, and mobile technologies (including IoT) has led to an unexpected demand for these products and, consequently, to an unprecedented surge of 200mm fab capacity. Until recently, it was possible for a 200mm fab to purchase almost any tool from multiple sources (OEMs, third party, brokers) at a compelling price. A shortage of used 200mm tools over the last year has led to steep price increases, if there is a tool available at all. Because of this trend, these tools now need to be built new by OEMs at a premium price. These developments have created a major constraint to the expanding capacity projected for MtM products. This talk will focus on the challenges facing this expanding 200mm/150mm MtM market.

Biography: Simon Binns is the Product Line Manager for metal deposition products with the Equipment Product Group (EPG) of Applied Global Services (AGS) Division of Applied Materials, Inc. Since 2010 he has been responsible for managing the ≤200mm PVD and MCVD equipment product portfolio to ensure alignment of Applied’s capability with customer requirements. He brings over 25 years of PVD, CVD, Etch, HTF, CMP and Ion Implant product knowledge and experience to AGS having previously served as Business Development Manager for the Ion Implant Division in North America and Japan, and Regional Sales Manager for Northern Europe while living in the U.K. Simon holds a BSc in Mechanical and Production Engineering from the University of Birmingham, UK and is a former member of the City & Guilds of London Institute. Prior to working in the semiconductor industry, Simon worked as an equipment engineer on Ion Implant, PVD and Evaporation systems at the Research & Development center of Lucas Industries, a UK based component supplier to the automotive and aerospace industry.


Gas Sensors: An Overview of Fabrication Techniques, Device Comparisons, and Emerging Trends
Hossain Fahad, PhD
Post-Doctoral Researcher
Berkeley Sensor and Actuator Center (BSAC)

There is an increasing demand for mass producible, ultra-low power gas sensors for a wide variety of industrial and consumer applications. In this talk, we will provide an overview of the existing state-of-the-art in low power gas sensors and describe their manufacturing techniques as well as drawbacks associated with these technologies, especially when considering integration with low power electronics. We will also describe the recent advances in chemical sensitive field effect transistors (CS-FETs) and how this technology can effectively bridge a technological gap that is currently present with traditional MEMS and electrochemical gas sensors. Compared to existing gas sensor technologies, silicon-based CS-FETs are manufactured using conventional CMOS processing techniques, offer unprecedented advantages in terms of cost, sensitivity, selectivity, and highly scalable production capability for broad area deployment. Finally, we will provide specific use case examples of CS-FET technology and highlight new and emerging applications.

Biography: Dr. Hossain Fahad is currently a post-doctoral researcher at UC Berkeley at the Berkeley Sensor and Actuator Center (BSAC), where he leads the research and development of silicon based chemical sensitive field effect transistor (CS-FET) together with Professor Ali Javey, EECS distinguished professor at UC Berkeley. At Berkeley, Fahad’s work on CS-FETs has been bestowed with four industry-nominated best research awards and was also nominated as a NSF breakthrough work in 2016. In 2017, he co-founded Serinus Labs, Inc., which is aimed at rapid commercialization of the CS-FET sensor technology. Fahad received his PhD in Electrical Engineering from KAUST in 2014. His expertise is in device physics, advanced CMOS technology and processing. He has published more than 20 papers in top scientific journals and conference proceedings.


MEMS Manufacturing Processes and Packaging: Have the Limits Been Reached?
Romain Fraux
CTO
System Plus Consulting

Today, the MEMS industry has acquired from the smartphone market a strong experience in inertial sensors, microphones, and environmental sensors. Based on this experience, MEMS players have pushed the boundaries of performance and size. Sensors are now small enough, reliable enough, and accurate enough to be included in a pocket-sized device. Moreover, battery limitation is pushing the industry towards more optimization, even on the hardware side, through either packaging innovation or new designs with lower power consumption. WLP or SiP are key enablers for heterogeneous integration in segment such as MEMS and sensors requiring ultra-thin profile and small footprint. Also, WLP is a turning point for cost reduction, performance boost and functional integration. Based on pictures extracted from physical analyses of several sensors and modules from the leading players, this presentation will highlight the latest trends and evolution in terms of manufacturing processes and package integration, with a focus linked to miniaturization and cost reduction.

Biography: Romain Fraux is the Chief Technology Officer of System Plus Consulting, specialized in the reverse costing analysis of electronics, from semiconductor devices to electronic systems. Supporting industrial companies in their development, Romain and his team are offering a complete range of services, costing tools, and reports. They deliver in-depth production cost studies and estimate objective selling price of a product, all based on a detailed physical analysis of each component in System Plus Consulting laboratory. With his deep technical expertise and knowledge of the industrial landscape, Romain performs costing analyses of MEMS devices, integrated circuits, and advanced packaging. He has significant experience in the modeling of the manufacturing costs of electronics components as well. Romain holds a bachelor’s degree in Electrical Engineering from Heriot-Watt University of Edinburgh, Scotland and a master's degree in Microelectronics from the University of Nantes, France.


Manufacturing Challenges for Emerging MEMS Technologies
Peter Meijer, PhD
European Technology Manager
Lam Research

MEMS markets and applications are expanding rapidly, with new devices being introduced at an accelerated rate. Market drivers are typically device accuracy, power consumption, as well as new and enabling features. Common development strategies to address these trends across technologies are progressive miniaturization for the traditionally silicon-based devices, and also the introduction of new materials into the device structure. These new materials are typically designed to exhibit specific mechanical or electrical properties, and an emerging example are piezo-electric materials. The unique and in many cases tunable properties make it well suited for both sensing and actuating applications. Processing piezo materials is adding a complexity compared to the traditionally used silicon-based materials. Especially, the material composition and properties of commonly used piezo materials such as PZT (lead zirconate titanate) or scandium doped aluminum nitride are introducing major challenges for volume manufacturing. This presentation will discuss the nature of these challenges and the various paths to address them.

Biography: Peter Meijer is heading the European Technology Organization at Lam Research, aiming to bridge the gap from device R&D to volume manufacturing enabled through Lam’s leading edge technology solutions. In recent years, Europe has been driving new developments for IoT and specifically sensor manufacturing, often addressed through MEMS technologies. Peter and his team work closely with customers and constantly monitor market developments to anticipate the next device manufacturing challenge and support Lam in delivering the products and technologies needed to enable our customer’s success. Prior to joining Lam in 1999, Peter worked for Philips Research, where he developed plasma etch processes for advanced CMOS technologies. His career in semiconductors was founded on an education in physics. Peter received his BSc and MSc from Eindhoven University of Technology, followed by a PhD degree and post doc position at FOM Institute for Plasma Physics in the Netherlands.


Process Development for Novel Digital Micromirror Architectures
Sean O’Brien
Distinguished Member Technical Staff
Texas Instruments

In the early 1980s, Texas Instruments made a commitment to monolithic integration of the Digital Micromirror Device (DMD) onto CMOS controller circuitry. Use of existing CMOS processing and transistor technology allowed construction of DMDs in the same high volume manufacturing fabs where CMOS wafers were built. The base DMD manufacturing process was established in the 90s and is still currently used today. Traditional semiconductor manufacturing processes are used in combinations rarely seen in our industry including sputtering resist on metal, and etching metal features over a non-traditional substrate, photoresist. This process has enabled technology nodes from 17um to 5um pixel sizes and array resolutions ranging from 200,000 (smallest pico chip) to 8,000,000 mirrors. Over the years, innovative modifications to the base DMD manufacturing process were established to improve device reliability and meet customer product needs. This talk will describe the fabrication of three-dimensional DMD elements including monolithic MEMS, cantilever hinge Tilt-Roll Pixel (TRP), and a novel planarized mirror via. Using this creative approach to avoid the development of specialized processing equipment has allowed TI to become one of the world leaders in MEMS manufacturing.

Biography: Sean O’Brien has worked on semiconductor process development since the early days of 16 Mbit DRAM. He has developed new processes in wafer cleaning, wet etching, silicide formation, DUV, and 193nm photolithography. After working in reticle enhancement technology on phase shift and sub-resolution assist features, he began an assignment at IMEC on 193nm immersion photolithography. After a brief transition to RF-MEMS at MEMtronics he returned to Texas Instruments and began developing new products for DMD MEMS.


Rapid Innovation with Production MEMS
Ron Polcawich, PhD
Program Manager
DARPA

With ever increasing interest in improving the time to innovate and transition technology from basic prototype to product/system insertion, a review and discussion regarding the current approaches used within the MEMS technology space is warranted. With this in mind, a workshop on the Rapid Innovation with Production MEMS (RIPM) workshop was convened in May 2018 with participation from independent device manufacturers (IDMs), MEMS foundries, system integrators, and federal government stakeholders in order to discuss the merits and challenges of using production proven MEMS fabrication process flows. Whether through a multi-project wafer (MPW) model, collaborative access to a small percentage of die real estate on existing production or engineering lots, or alternative models, this approach is envisioned to increase access to state-of-the-art and production-proven MEMS processes. This presentation will provide a summary from the workshop to ideally spur additional discussions on this topic.

Biography: Dr. Ronald G. Polcawich is a Program Manager at DARPA in the Microsystems Technology Office and currently on detail to DARPA from the Micro & Nano Materials and Devices Branch of US Army Research Laboratory (ARL), Adelphi, MD. Dr. Polcawich has a background in piezoelectric thin films, ferroelectric thin films, MEMS fabrication, MEMS device design, RF MEMS, inertial sensors, and actuators for microrobotics. While at DARPA, Dr. Polcawich will lead research projects in advanced materials processing combined with micromechanics for small scale robotics and developing new materials processes, device designs, and integration approaches required to push inertial and aiding sensor performance for enabling trusted, heterogeneous position, navigation, and timing (PNT) systems.


Innovation Showcase Presenters (listed alphabetically, by company name)

Environment Resistant Package Technology for MEMS Applications
Jay Mitchell, PhD
President
ePACK


MEMS Switch Fabrication: Comparison of Surface and Bulk Micromachining
Chris Gudeman
CTO
IMT


Advanced Packaging for High-Performance, Ultra-Low Cost Amperometric Gas Sensors
Joseph Stetter, PhD
President and CTO
SPEC Sensors


Laser-Induced Molybdenum Carbide-Graphene Composites for 3D Foldable Paper Electronics
Liwei Lin, PhD
Professor
University of California, Berkeley


Increased Output-Pressure PMUTs with a Sloped Profile Fabricated via Surface Micromachining
Ray Luo
Post-Doctoral Researcher
BSAC


Flexible Integration and Scalability for RF MEMS Solutions
David Molinero
Principal MEMS Development Engineer
Wispry


Many thanks to our speakers from MEMS Manufacturing 2017.

MEMS bonding techniques: emerging trends and processes
Rachid Abdallah
Research and Development Engineer
MSG Lithoglas

Some wafer bonding techniques have received increasing attention in many sectors of the semiconductor industry in the last few years. Whether for 3D integration, wafer-level capping, or MEMS, wafer bonding has become a key factor in ensuring the reliability and long-term stability of electronic systems. For example, anodic bonding of silicon to glass wafers is a well-established method in MEMS fabrication and provided high quality hermetic seals. However, under relatively harsh processing conditions (temperatures around 400°C and typical voltages of 600-1000V) which have restricted the use of this method. As a result, there has been a trend of adopting alternative bonding techniques and packaging materials, which meet the requirements of modern electronic systems. This talk will provide an overview of the current and emerging bonding techniques for MEMS devices. This talk will also discuss the pros and cons of emerging MEMS manufacturing processes such as modified anodic bonding based on evaporated thin-film borosilicate glass, as well as new trends in using direct bonding and metal bonding as methods for MEMS capping.

Biography: Rachid Abdallah is a leading member of the research and development team at Lithoglas. He received his Master’s degree in electrical and electronics engineering with emphasis on microsystems engineering from the Technical University of Berlin. Afterwards, he has gained several years of experience in the field of wafer-level packaging and especially wafer bonding techniques at the renowned Fraunhofer Institute for Reliability and Microintegration (IZM).


MEMS sensors and the supply chain: improving time to market
Roc Blumenthal
Senior Director of Marketing
Semiconductor Manufacturing International Company (SMIC)

In order to enable the aggressive implementation of sensors required to support the forecasted growth for IoT applications over the next five years, it is critical that new MEMS sensor products be introduced into the market quickly and cost effectively. Developing new MEMS sensors require an integrated activity across the supply chain due to the fact that design enablement remains weak for most devices, and the packaging itself is part of the sensor functionality. Also, the value for sensor products is migrating upwards in the value chain forcing sensor companies to not only provide silicon sensors but some level of subsystem integration including additional hardware and software. This presentation will discuss the latest trends in the MEMS fabrication landscape and describe a “prime contractor” approach as a value addition and to enable faster time to market. The presentation will also provide a brief update on the MEMS manufacturing ecosystem developments in China.

Biography: Roc Blumenthal has over 30 years of experience driving complex engineering technology development, technology transfer, and technical marketing activities in executive and senior technology positions. He joined SMIC in 2016 as a Senior Director of Marketing, responsible for sensor, actuator, 3D IC, power, and analog marketing. Roc has been living in China since 2012 working at his co-owned engineering service and MEMS IP licensing business. Prior to coming to China, he held Director/Senior Director positions in technology development at TI, Motorola, Cypress, and ON Semiconductor, working with logic, memory, and power technology products. He has a MS/BS in Materials Science and Engineering from Stanford and holds 6 patents. Roc is a Senior Member of the IEEE and has written/presented more than 30 technical papers and edited two conference proceedings. He has chaired both the Northern California and Texas Chapters of American Vacuum Society and has been active participant of MEMS and Sensors Industrial Group.


Microbolometer based imaging systems: leveraging high-volume manufacturing in commercial MEMS foundries
KG Ganapathi, PhD
Co-Founder and CEO
INVIS Technologies

Traditional high costs of IR cameras have limited their use to defense and other high-end applications and, therefore, most focal plane array (FPA) manufacturers are in the defense sector. However, recently, the use case for IR cameras at the “low end” – VGA and below – has grown dramatically. Applications include home and industrial IoT, ADAS and autonomous cars, and eventually the mobile phone market as well. Sales of these low- to mid-range imagers have more than quadrupled over the past few years and are growing at more than 50% annually. We’ve developed a unique optical lens and camera assembly technology that uses existing MEMS foundry and manufacturing infrastructure to realize a further significant reduction in the structural cost of long wavelength thermal/night vision IR cameras. All the innovations are in the optical system; the camera utilizes traditional “off-the-shelf” focal plane arrays (CMOS + MEMS detector arrays), wherein the technology and processes are well known and understood. It is anticipated that the IR imager market will follow the same paradigm as with many other MEMS based solutions in high volume markets: as the cost of the CMOS/MEMS array drops with increasing deployment, this will fuel ever expanding applications, and potentially even be suitable for the mobile phone market. With this expanding range of applications, there is an inherent opportunity for both merchant MEMS suppliers as well as traditional MEMS foundries to leverage existing high-volume MEMS manufacturing capabilities to address this large and rapidly growing volume potential. This presentation will provide the details of how we used the MEMS foundry infrastructure to manufacture our device.

Biography: Dr. KG Ganapathi started his career in the magnetic recording disk drive industry in 1990. In 1997, he was one of the first employees and subsequently GM and Acting CEO at DAS Devices, a venture funded start-up in the magnetic recording head industry. He led the sale of DAS to Applied Magnetics in 1999 for ~$150M. After serving as GM of US Operations at Applied Magnetics, he founded Fidelica Microsystems, a company targeting the biometrics industry using MEMS technology on roll-to-roll and large-area glass substrates. Fidelica is now a part of the Lenovo Group, following an acquisition in 2013. KG was also a co-founder and CEO of Verreon, a start-up focused on inertial sensors based on glass substrates. KG led the sale of Verreon to Qualcomm in 2010. KG is currently co-founder and CEO of INVIS Technologies, a company that is targeting high-volume thermal and night vision imaging systems, as well as co-founder of Vimaan Robotics, which is developing a revolutionary solution to scale the use of drones in commercial applications. He is on the board of directors at Light Blue Optics and also serves as an advisor to a few other hardware oriented startups. KG has a PhD from Ohio State University, and a Bachelor’s from the Indian Institute of Technology, Madras, both in Materials Engineering. He has over 30 issued and pending patents.


Emerging 3D integration technologies for MEMS fabrication
Lutz Hofmann
Senior Scientist
Fraunhofer ENAS

The increase in functionality in electronic systems for IoT, mobile devices, or medical applications, together with the trend towards size reduction, demand advanced packaging and integration technologies for the system and its sub-components. For these requirements, 2.5D and 3D integration are promising methods for the enhancement and miniaturization of sensor systems. These techniques comprise processes such as wafer thinning, through silicon vias (TSVs), and flip chip contacts. The full implementation of this technology into MEMS devices with high-aspect-ratio microstructures (e.g. precision accelerometers or gyros) implies some technological challenges. For instance, there is a certain limit in wafer thinning and the exclusion of wet processes on unpackaged microstructures. This talk will address some of these challenges and provide technology insights into 3D wafer level packaging of MEMS accelerometers. Various technology approaches (e.g. "via middle" and "via last") based on Cu-TSVs together with different wafer level bonding methods will be presented and discussed.

Biography: Lutz Hofmann is a Senior Scientist at the Fraunhofer Institute for Electronic Nanosystems in Chemnitz, Germany. His responsibilities include the 3D wafer level packaging technology based on Cu-TSVs for MEMS and CMOS sensor devices, which was also the topic of his PhD thesis. He has over 10 years of experience in micro technologies with focus on process integration and TSV fabrication. Before joining Fraunhofer, Lutz worked as research associate at the Center for Microtechnology in Chemnitz from 2006 to 2012 in the field of back-end-of-line (BEOL) interconnect technologies and electrochemical processing. Earlier, Lutz received his diploma degree in engineering with a major in microtechnologies in 2006 from the Chemnitz University of Technology in Chemnitz, Germany.


Plasma dicing for MEMS fabrication
Christopher Johnston
Business Development Manager for Advanced Packaging
Plasma-Therm

Plasma dicing delivers superior dicing quality versus blade or laser dicing, without the mechanical and thermal stress that impacts device reliability. Plasma dicing is a chemical dicing process that does not chip or crack the silicon. The technology employs the Bosch etch process to control the vertical, anisotropic profile to dice through the wafer. As a parallel process, the plasma is capable of dicing the entire wafer at once without constraints for narrow-street widths, small die sizes or odd die shapes. Plasma dicing is well positioned to meet the packaging trends for thinner and smaller devices with superior quality and flexibility. This talk will provide a comprehensive comparison of plasma dicing to other dicing techniques. The challenges and costs of integrating the silicon etch technology in the backend or assembly processes will be addressed as well. Today, the leading plasma dicing solutions provide lower wafer preparation requirements, costs and are compatible with the backend media substrates, tape frames, to ease the integration into common dicing process flows.

Biography: Christopher Johnston is the business development manager for advanced packaging at Plasma-Therm, responsible for plasma dicing solutions. Christopher comes to Plasma-Therm from Intel Corporation, where he served 16 years in semiconductor fab high volume manufacturing and assembly R&D. During his last 8 years at Intel, he was the dicing equipment development and supply chain engineer. Christopher dicing technology contributions include the leading wafer scribing solution advanced nodes (10nm) and the most affordable plasma dicing-on-tape solution for small and thin devices. Christopher received a BS degree in Electronics Engineering from DeVry University, MBA and MPM from Keller. His recent publications include “Plasma dicing methods for thin wafers”, Chip Scale Review (May-June).


MEMS technology transfer challenges and design for manufacturing
Mary Ann Maher, PhD
CEO
SoftMEMS

Technology transfer from a university, startup, internal or external fab to volume manufacturing using an external partner or second line has become one of the key issues today in MEMS product development. Poor technology transfer can result in delayed or cancelled product releases to the market and is one of the leading causes of startup failures. Tech transfer in the MEMS industry is especially challenging due to the variety of fabrication processes and lack of standardization in MEMS manufacturing. This talk will review manufacturing related challenges for technology transfer and how computer aided design (CAD) tools can help address these challenges. The talk further describes how CAD tools can be used to avoid communication problems and costly mistakes by standardizing information exchange, modeling manufacturing processes and their variations, and performing important design checks to ensure successful manufacturing with faster tech transfer and reduced costs. Additionally, the talk will provide an overview of the current and emerging providers of MEMS CAD tools in the marketplace including the main area of focus and expertise for each company.

Biography: Dr. Mary Ann Maher received her PhD from Caltech in 1989 in the area of semiconductor device modeling. She pursued post-doctoral studies at the CSEM in Neuchatel, Switzerland, where she studied analog memories and low power analog ICs with on-chip sensors for artificial vision applications. At Tanner Research she began the simulation and modeling group and launched Tanner’s T-Spice analog circuit simulator product. She then became the Software Architect. As Director of Advanced Products, she brought to market Tanner’s MEMS Pro microsystem and MCM Pro multi-chip module and packaging design tool suites. Moving to MEMSCAP, she became the company’s CTO. and the General Manager and Executive Vice President of the Design Automation Business Unit. In 2004, she started SoftMEMS, LLC, the maker of the popular microsystems design tools -- MEMS Pro and MEMS Xplorer, where she serves as CEO.


Evaluating the hermeticity of MEMS device packaging
Steve Martell
Manager, Technical Support
Sonoscan

MEMS devices typically require packaging for environmental protection and for interconnection. There is a wide range of MEMS devices, and a common need is for packaging that allows movement of the internal device during operation. In many cases, hermeticity is critical to the MEMS device functionality and is important for the long term reliability of the MEMS device. Unfortunately, the common hermetic tests used are for much larger electronic devices and are not valid for packages with smaller internal volumes typical of MEMS packaging. Realizing this need, a guideline was published via the SEMI MEMS standards committee that reviewed the various methods available for hermeticity testing of MEMS size packages. This presentation will provide an overview of the main types of failures and anomalies related to early and long term MEMS device reliability, various inspection and alternative hermetic test methods, other related SEMI standards, and considerations for design and evaluation of hermetic MEMS packages.

Biography: Steve Martell is the manager of technical support services at Sonoscan, allowing him to work with companies and standards organizations on an international basis. He has a Mechanical/Ocean Engineering degree from URI and MBA from Chicago Booth. He Chairs IPC's B-10a Task Group since 1996. He received the "IPC’s President’s Award" in 2015, and other awards for his leadership of the joint IPC/JEDEC working group that developed J-STD-020, J-STD-033, J-STD-075, etc. He is also working with other international standards organizations, such as JEITA and IEC. He is a Co-Chair of SEMI MEMS and member of SEMI LED, 3DICs and PV standards committees. On the military side, he Chairs the AM TG on counterfeit parts for SAE G19A, and contributes to SAE G12/JEDEC JC13 committees. He is the author of over thirty papers and technical publications with a focus on C-SAM technologies and related industry standards.


Metallization of high aspect ratio TSVs for MEMS applications
Vincent Mevellec, PhD
Sr. Director of Technology and R&D
aveni

Semiconductor and related devices have seen an increase in the adoption of through silicon vias (TSVs) used to create electrical interconnections between several (typically dissimilar) substrates. Applications for TSVs within the MEMS and sensors market generally have relatively large diameters (30-100 μm) with lower aspect ratios (below 8:1), which is especially the case for CMOS image sensors (CIS). As such, requirements to metallize these features are not particularly challenging, aside from the fact that the dimensions are large compared to CMOS metallization, and require a lot of bulk material. As the MEMS market continues to evolve, applications that utilize TSVs are forecasted to increase in number and complexity. In conjunction with increased usage of TSVs, high aspect ratio (HAR) TSVs will enable increased device packing density, but will also present additional challenges for metallization. In this talk we will give an overview of the mechanisms, limitations, and capabilities of various metallization technologies for high aspect ratio TSVs.

Biography: Dr. Vincent Mevellec joined aveni in 2007 and is currently the company's Senior Director of Technology and R&D. He is responsible for the continued growth of aveni's IP portfolio, with focus on 3D copper interconnects, dual damascene, and TSVs. He also leads research into new product design, new markets, and applications. Prior to aveni, as a research engineer at CEA Saclay, Vincent worked on polymer grafting and nanocoating deposition. He invented the Graftfast technology for grafting polymer in the aqueous phase on any type of conducting or insulating substrate, and is the primary inventor on 13 patents in the field of nanocoatings. Vincent holds a PhD in nanochemistry, and an engineering degree in organic chemistry from École Nationale Supérieure de Chimie de Rennes.


Lithography for MEMS device fabrication: using standard processes for patterning of non-standard materials
Jay Sasserath, PhD
General Manager
Advanced Micro Patterning

Although there is a significant push to move to additive processing techniques to reduce the cost of sensor manufacturing for IoT and other emerging applications, standard photolithography is still the dominant technique for fabricating MEMS devices. However, challenges arise with photolithography techniques because new materials and non-standard/non-silicon devices are required. For example, fixturing of substrates during processing, chemical compatibility of new materials versus existing processes, and temperature limitations affect device performance, cost, and yield. In this presentation, system solutions will be presented for these and other situations, specifically as related to lithographic processing. Additionally, integration with other standard deposition and etching processes will be addressed. Finally, many examples of non-standard devices will be shown, along with the processing techniques utilized to fabricate them. These examples will include: thin film processing for flexible electronics, thick photoresists, high topography processing, 3D images in resists, ceramic processing, metal substrate processing, and round/non-flat substrate processing.

Biography: Jay Sasserath is currently the General Manager of Advanced Micro Patterning, a company he co-founded as Intelligent Micro Patterning in 2001. He was formerly Vice President at Unaxis USA, having P&L responsibility for a $90M/year business unit with locations in the United States, Germany, Switzerland, and France. Prior to Unaxis USA, Dr. Sasserath had over 10 years of senior management experience at companies such as Sony Corporation and Hypres Incorporated. He holds PhD and MS degrees in Materials Science from SUNY Stony Brook and a BS in Chemical Engineering from Rensselaer Polytechnic Institute.


MEMS manufacturing in China: recent trends and ecosystem developments
Doug Sparks, PhD
CTO and Executive Vice President
Hanking Electronics

China is seeing a dramatic surge in the growth of infrastructure for MEMS manufacturing. More than $160 billion dollars will be spent in China’s semiconductor industry in the next few years, at a rate of more than $10 billion per year. A significant portion of that investment will go into the MEMS segment. China is seeing a MEMS manufacturing transition from 100 and 150mm wafers to 200mm wafers, as well as a transition from government-institute fabs to commercial MEMS fabs and foundries. In addition, the high–volume MEMS manufacturing segment in China was previously post-wafer packaging and test, but now it is encompassing the entire wafer fabrication flow of the production cycle. This talk will provide a comprehensive overview of the major MEMS players, technology, investments, challenges and related services and devices available in China.

Biography: Doug Sparks has worked in the MEMS field in the US, China, Japan, and Europe on automotive, medical and industrial applications for over 20 years. Since 2011 he has been working in China at Hanking Electronics. He is the CTO and Executive Vice President at Hanking Electronics where they have started up a 200mm MEMS wafer fab in Shenyang, China. Prior to joining Hanking he was the founder and president of NanoGetters and the Executive Vice President of Integrated Sensing Systems, Inc. where he oversaw its microfluidic product line. He also worked at Delphi Automotive System’s in the area of MEMS, wafer fabrication, and integrated circuits development. He has published over 100 technical papers and 47 patents and holds a PhD in Material Science and Engineering from Purdue University.


Metrology tools for MEMS manufacturing: an overview of existing and emerging options
Wojtek Walecki, PhD
CTO
Frontier Semiconductor 

We will present an overview of optical and electro-optical tools for MEMS metrology available currently on a market. We will discuss optical dimensional microscopy, imaging ellipsometry, stroboscopic microscopy for dynamic measurements, various types of white light and phase shifting free space microscopic interferometry, as well as speckle and holographic interferometry addressing dynamic measurements. We will describe the use of free space optical stress metrologies including opto-elastic and Raman imaging. We will also review fiber optic optical coherence tomography (OCT) tools for MEMS measurements. We will contrast and compare use of the optical tools with scanning and tunneling electron microscopes from commercial user perspective. In addition, we will discuss available contact tools such as stylus profilers, atomic force and scanning tunneling microscopes, and electrical capacitive methods. The newest developments in optical and contact MEMS metrology, trends in the MEMS metrology market, and main characteristics of the commercial metrology systems available today and nearest future will also be presented.

Biography: Wojtek Walecki received his PhD in Physics and his Masters in Engineering from Brown University in 1994 and 1992 respectively, and a Masters in Physics from Warsaw University in 1988. He did his postdoctoral research at Cornell University. His academic interests were focused on ultrafast spectroscopy of semiconductors and semiconducting devices from UV to THz spectral range.Later Wojtek worked as Semiconductor Diagnostics Program Manager, Product Manager, Worldwide Product Manager, and Division Manager in several companies including ThermoOriel, Lambda Physik, Frontier Semiconductor, and until fall 2016, Sunrise Optical LLC. In August 2016, Wojtek returned to Frontier Semiconductor, this time as Chief Technology Officer. He is the author of about 60 publications, and several patents.


Electrochemical processing for MEMS applications: what might you need to know ?
Kevin Witt
President
ClassOne Technology 

Electrochemical processes such as electroplating and anodization continue to expand their "process share” in MEMS fabrication. Whether for packaging or device applications, more electrochemical processes are finding their way into the production line. In the coming decade, this trend is expected to continue as the low cost and relative ease of use of these processes make them attractive for replacing other deposition techniques even on the smaller wafer substrates. Adoption of these processes requires understanding of their particular requirements and may require upstream and downstream accommodation, either in terms of process flow or materials. This talk will address what a typical MEMS process engineer might need to know in order to consider and adopt an electrochemical process in the manufacture of their devices. Examples of various types of electrochemical processes, potential integration schemes, process and facility requirements, and cost of ownership will be explored and discussed. The talk will also provide an overview of the latest trends and developments with electrochemical processing for emerging MEMS applications.

Biography: Kevin Witt, ClassOne Technology's President and CTO, has over 25 years of experience in the semiconductor and related industries with particular emphasis on electrochemical deposition. Prior to joining ClassOne Technology, he consulted for a variety of technology companies including Veeco, ESI, BioNano Genomics, TruTag, Advanced Inquiry Systems, SolarSemi Engineering, and The Thompson Group/SEMSYSCO. Witt, a member of the executive team that sold Semitool to Applied Materials founded Semitool's Disruptive Technology Department where he held the title of Director, and was a cofounder of Zinc Air Inc. (now ViZn Energy), an energy storage company, where he held the position of CTO and COO. He has held global marketing positions at Rodel and Solutions Technology, as well as manufacturing engineering positions at Advanced MicroDevices (AMD). While at AMD he was a member of the start-up team responsible for planning and establishing high-volume production fabs in Texas and Germany, serving as the lead engineer for CMP and copper plating. He was also assigned to SEMATECH for a year working on post-CMP cleaning technology. Witt has also worked for Perkin-Elmer and as a freelance software developer for educational and scientific applications. He holds a Master's of Science degree in materials science and engineering and a Bachelor of Science in physics from Rochester Institute of Technology. An amateur luthier, Witt lives in Montana with as many dogs as his wife will permit.


Fabrication and packaging of microfluidic chips
Mingqiang Yi, PhD
CEO
Microfluidic Foundry

The fabrication of a microfluidic chip involves constructing microchannels, which can be etched, machined, molded, or printed. The materials for making microfluidic chips can be inorganic (silicon, glass, ceramic), polymer (elastomers, thermoplastics, and thermosets), paper, or hydrogels. Microfluidics is an interdisciplinary field and the fabrication of microfluidic chips can be combined with the materials and techniques mentioned above. A microfluidic chip is also much more than a pattern of molded or engraved microchannels. The controlling and sensing components are integrated on-chip and are capable of diagnostics, monitoring, energy conversion, etc. Packaging usually accounts for approximately eighty percent of both the cost and failures of MEMS devices. For MEMS with microfluidic channels to achieve leak-tight, re-usable, multi-functional systems, packaging requires reliable fluid and electrical connections. The plastic encapsulation packaging with standard plug-and-play fluidic and electrical connections can also play a critical role in addressing the lack of standardization and interconnection during the fabrication of microfluidic chips. This talk will provide an overview of the main types of processes and main types of materials used in making microfluidic chips.

Biography: Dr. Mingqiang Yi has been CEO for Microfluidic Foundry LLC since 2011. He also served as the Principal Investigator for the project funded by National Health Institute from 2014 to 2015. From 2009 to 2011, Dr. Yi was the process engineering manager for Alphabet Energy, Inc., where he was responsible for the development of silicon nanowire based thermoelectric module. From 2005 to 2008, Dr. Yi was the project leader for RheoSense, Inc., where he was responsible for the development of microfluidic chip based viscometer. From 2001 to 2002, Dr. Yi was a MEMS scientist at Los Gatos Research, Inc., and he was responsible for the development of high pressure electrokinetic micropump. From 2002 to 2005, Dr. Yi was a postdoctoral fellow at University of California, Berkeley where he developed microfluidic sensors for detecting DNA hybridization, for detecting enzymatic reaction products, and for protein analysis. Dr. Yi obtained his PhD in Mechanical Engineering from University of Pennsylvania on 2001, Master of Engineering from Tsinghua University on 1997, and Bachelor of Engineering from Tsinghua University on 1994. He has a broad background in micro/nanotechnology, with specific training and expertise in microfluidics.


(2017 Technology Showcase speakers, listed alphabetically, by company name)

Allan Biegaj
Owner
AARD Technology

Sanjay Shinde, PhD
Sr. Manager
Canon USA

Gregg Moore
Technical Sales Engineer
Heidelberg Instruments

Jean-Pol Delrue, PhD
Business Development
LPKF Laser and Electronics

Sarah Okada
VP of Products and Applications
Revasum

Ginny Ho
Automation Prospect Specialist
Sonoscan

Luis Fernandez
Sr. Manager Technical Marketing g
TEL

Bill Moffat
CEO & Founder
TEL


(2017 Startup Showcase speakers – listed alphabetically, by company name)

Paul Dhillon
CEO
Integra Devices

Janet Hur, PhD
CEO
Millibatt

Osama Khan, PhD
CEO
MonoLets

Arthur Montazeri, PhD
CEO
MuOptix

Ian Campbell
CMO
PZFlex


(2015 speakers – listed alphabetically, by speaker’s last name)


MEMS packaging standardization
Adrian Arcedera
Vice President, MEMS, Sensors and PBGA Products
Amkor Technology

MEMS devices are not standard integrated circuits. Rather, MEMS are usually silicon-based transducers and actuators that respond to, or interact with, external and environmental stimuli. At the early stages of MEMS packaging technology development, cost and package form factor were not at the forefront as key specification for solving end-market application challenges. As a resume, this has resulted in a broad diversity of package form factors for almost every application and end-market. Now, on the other hand, as the MEMS market grows and transitions into high volume production, the drive towards package and test standardization will be needed to offer cost competitive solutions without sacrificing performance. Meanwhile, the requirement of "controlling stress to the MEMS structure, while allowing stimuli to go through" remains the same. As a possible solution to this standardization challenge, a standard cavity package platform will provide the flexibility to support many MEMS applications. Such a platform must be customizable on the inside, while being standard on the outside to maintain maximum compatibility during assembly, final test and surface board mount. The combination of a standard cavity packaging platform and optimized material sets will ensure a near stress free environment to allow MEMS devices to function optimally in the real world.

Biography: Adrian Arcedera joined Amkor in 1997, and has served in various positions in engineering, package platform development, supplier and material development, business development and product management of Amkor's Chip Scale Products -- Chip Array® BGA, Tape Array BGA and Stacked CSP. He has worked on various interconnect and packaging technologies to reduce package sizes, reduce package thickness, improve package cost, and improve thermal performance of chip scale products. Adrian has been granted 2 U.S. patents. Currently, Adrian is responsible for the business and platform development of MEMS, sensors, and PBGA products that service automotive, industrial and consumer markets/applications. Prior to joining Amkor, Adrian worked as Materials Engineer for Motorola Philippines, and earned his degree in Chemical Engineering from the University of the Philippines. Adrian can be reached at: Adrian.Arcedera@amkor.com.


MEMS in laminates: a new way to build low cost, 3D, integrated MEMS devices
Mark Bachman, PhD
Professor
University of California, Irvine

Recent interest in the Internet of Things (IoT) has created strong demand for embedded sensors and actuators that are highly integrated and low cost. Many transducing devices today are made from MEMS structures, which are typically manufactured using silicon micromachining. Such devices must then be packaged and integrated elsewhere to produce modules that have value for IoT. However, post-semiconductor manufacturing processes (PSM), including packaging and printed circuit board (PCB) technologies, can also be used to manufacture MEMS for sensing and actuation applications. Recent advancements in packaging technology, particularly for system-in-package applications, have produced processes with feature sizes small enough to be used for building microsystems. Furthermore, such devices can be built with a high degree of integration, pre-packaged, and at low cost. Indeed, the PCB and packaging industries stand to benefit greatly by expanding their offerings beyond servicing the semiconductor industry and instead developing their own devices and products.

Biography: Mark Bachman is faculty in Electrical Engineering and Computer Science, as well as Biomedical Engineering, at the University of California, Irvine (UCI). He is a co-founder of the two MEMS fabrication facilities at UCI: the Integrated Nanosystems Research Facility (INRF) and the Bio-Organic Nanofabrication Facility (BiON). Professor Bachman is an expert in MEMS manufacturing and sensor systems for IoT, health care, industrial, and consumer applications, and has worked in this area for 20 years. He is a pioneer in developing non-silicon approaches to fabricating MEMS devices. Mark serves as the principal investigator and project leader for many ongoing NSF, DARPA, VA, and NIH projects. He also serves on the ECTC Advanced Technology committee which he chaired in 2012, as well as numerous industry groups in California. Dr. Bachman has published over 100 scholarly and professional articles and has 12 patents issued. He earned a PhD in Experimental Physics from the University of Texas, Austin.


Ion beam trimming for MEMS/NEMS manufacturing
Allan Biegaj
Sales Manager
scia Systems

Ion beam trimming (IBT) is a special process which scans a focused broad ion beam across a surface, varying the velocity and dwell time, in order to precisely trim required amounts of material at each location across a wafer to achieve Angstrom level of rms uniformity in layer thickness and surface variation. Advantages of IBT are the ability to trim almost any material and to tune selectivity of different material removals simply by varying the angle of ion beam incidence. IBT is used extensively in the manufacturing of RF-MEMS such as BAW/SAW filters to trim devices on wafer to correct frequency. It is also used for applications such as post CMP error correction, SOI wafer planarization, and TFH pole trimming. scia Systems supplies ion beam trimming, ion beam etching/milling, ion beam sputtering, magnetron sputtering, PECVD, and other plasma and ion beam systems into the MEMS, microelectronics, and optics industries.

Biography: Allan Biegaj is the founder of AARD Technology LLC, which represents scia Systems in North America for sales of plasma and ion beam process equipment into the semiconductor, MEMS, aerospace, and optics industries. Prior to founding AARD Technology, Allan was general manager for DKSH Taiwan, which performed sales and service for European and American equipment manufacturers in Taiwan. Before joining DKSH, Allan served in Hong Kong as the Marketing Director Asia Pacific for Tektronix, a U.S. manufacturer of electronic test and measurement equipment. Allan began his career as an electrical engineer at Maxtor, a disk drive manufacturer in San Jose, CA. He earned his BSEE from University of California, Berkeley in 1988 and his MBA from University of California, Davis in 1995. Allan speaks Mandarin Chinese and some German, and is currently based near Seattle, WA.


MEMS fabrication infrastructure in China
Roc Blumenthal
Vice President, Technology Operations
Wisdom IC Microelectronics

The opportunities for the MEMS sensor market created by the implementation of the Internet of Things, and the need to develop indigenous MEMS companies and capability, have led to the local, provincial, and national governments in China to focus on supporting MEMS innovation activities, including device fabrication, packaging, and test. Currently, although there are a small number of foreign-based device manufacturers with dedicated fabs, the existing Chinese MEMS device fabrication ecosystem is based around university and institute labs and CMOS fabs looking to use MEMS products as a capacity filler to complement their standard technology. This presentation will provide a comprehensive overview of the existing fab players in China. The talk will also describe the new dedicated MEMS foundry capacity being brought on line to support the needs of MEMS development and time to market. We will also discuss recent changes in IP law in China, as well as some creative initiatives being put in place to address concerns about the importance and protection of patent rights.

Biography: Roc Blumenthal has over 30 years of experience driving complex engineering technology development and technology transfer activities at Motorola, TI, Cypress, ON Semiconductor, SVTC, and MEMS startups, on products ranging from leading edge high performance and memory, to combinatorial systems-on-a-chip and MEMS devices. He has been living in China for over three years, founding an engineering service and IP licensing business and transferring MEMS technology and business processes to multiple Chinese companies. Roc is an established industry expert in interconnection technology and led the process team that achieved the first qualification of Cu metallization for 0.22um PowerPC technology at Motorola. He holds five patents, has written and presented more than 30 technical publications, has edited two conference proceedings, is a Senior Member of the IEEE, and was Chairman of the Northern California and Texas Chapters of American Vacuum Society. Roc received his BS and MS in Materials Science and Engineering from Stanford University.


Particle detection on critical non-wafer surfaces
David Browne
Vice President of Products
Pentagon Technology

Particulate related failures are common in MEMS. Wafer level particle detection is accurate and well established, however particle detection on critical non-wafer surfaces is typically left to the human eye. This causes yield and reliability failures as undetected particles migrate from critical surfaces to the MEMS device. Pentagon Technologies has a surface particle detector that can solve this problem. The QIII Ultra surface particle detector will size and quantify particles down to 100nm, and it makes a measurement in 10 seconds. This provides a metric for control of critical non-wafer surfaces. Please contact Pentagon Technologies to help you solve your next particle related failure.

Biography: Mr. David Browne has been Vice President of Products at Pentagon Technologies since 2014. Mr. Browne has more than 20 years of experience in sales and marketing from the storage and semiconductor industries. From 2000 to 2014, he held various senior management positions with Negotiator Pro, MicroProbe, and FormFactor, including Vice President of Marketing and Sr. Director of Sales. Mr. Browne started his sales career with Komag, where he served as Director of Sales from 1996 to 2000. Prior to that, he worked in a variety of engineering and management positions with Maxtor and ReadRite. Mr. Browne holds a BS in Physics from California Polytechnic, San Luis Obispo.


Aluminum nitride piezoelectric technology for next generation MEMS devices
David Butler
VP Product Management and Marketing
SPTS Technologies

Bulk acoustic wave (BAW) devices made with aluminum nitride (AlN) piezoelectric films have been an essential component of cellphones for more than a decade. The modern cellphone is required to work across a wide spectrum of incoming RF signals, efficiently converting RF energy into acoustic with low loss and with no cross-talk with other frequencies. Higher bandwidth is achieved at higher frequencies and BAW filters have become the standard technology for communication protocols at and above 3G, with approximately 7 billion units expected to ship this year. In recent years, designers have started to apply piezoelectric AlN to a new range of MEMS devices. High precision timing parts and microphones with record breaking signal-to-noise ratios have begun to appear on the market. Beyond that, the high Q factor inherent to AlN makes it a very attractive material for the low energy actuators and sensors that will enable wearables, or IoT parts. AlN has the potential to become a ubiquitous part of future MEMS fabrication processes. However, producing such parts in high volume is high tolerance manufacturing. For example, the AlN layer must display near perfect thickness uniformity with narrow crystal orientation and tight stress control. This presentation will discuss the latest trends and challenges with AlN fabrication processes. The talk will also provide a comprehensive overview of emerging MEMS applications enabled by aluminum nitride.

Biography: David Butler currently serves as the Vice President of Product Management and Marketing at SPTS Technologies, and has more than two decades of experience in the semiconductor capital equipment and related industries. He first joined Electrotech in 1988 as a Senior Process Engineer, and then moved to Product Marketing for Electrotech's PVD products. In 2004, he assumed the role of Director of Marketing for the PVD, CVD, and etch products at Trikon, becoming Vice President of Marketing for the three product lines at Aviza Technology following the merger of Aviza and Trikon. In 2009, following the formation of SPTS Technologies, Mr. Butler was appointed VP of Product and Corporate Marketing, overseeing all marketing efforts for SPTS' full range of PVD, CVD, etch and thermal products.


Nurturing MEMS to production: a foundry's role and value
Theodore Chi
Director of Marketing and Sales
Innovative Micro Technology (IMT)

Similar to raising a child, the path from initial design to high volume production of MEMS requires resources and resolve. As the MEMS industry shifts to the fabless model, finding the right foundry partner has become an equally important component of success as having the winning design. Unlike the semiconductor industry with a library of design rules built over decades, MEMS development remains a very custom process, especially as designs increase in complexity. MEMS devices typically do not work as first modeled, and the cycles of fab runs of experiments and prototyping feed into re-design and process optimization that help eliminate various integration issues. The foundry's experience can reduce the time needed for this development cycle. This presentation will focus on the role of the foundry, whose strength stems from the ability to control its processes that help understand device limits. Ultimately, careful nurturing leads to a stable, repeatable, and mature MEMS processes and devices which are prerequisite to volume production.

Biography: Theodore (Ted) Chi has over 18 years of experience in high tech industry. He is currently the Director of Marketing and Sales at Innovative Micro Technology (IMT). Since joining IMT in 2001, Ted has collaborated on over 400 different MEMS programs and helped grow IMT's comprehensive foundry services from development to volume production of complex MEMS. Prior to IMT, he worked for Veeco Instruments' Metrology Group as a marketing manager. Ted has a bachelor's degree from the University of California, Santa Barbara.


MEMS reliability for start-up companies
Allyson Hartzell
Scientific Consultant
Veryst Engineering

The topic of MEMS reliability is different for each of us. MEMS engineers and scientists in industry are doing their best to market, develop, produce and deliver a reliable new product. Market acceptance of emerging technologies, however, is only possible with successful reliability data. In the same way that improving the yield of a manufacturing process requires significant data from many parts, the prediction of reliability for emerging technology needs high confidence levels to predict low failure rates. Thus, MEMS reliability physics rely on statistics to predict product lifetime. Many experts in this field are from the established and large MEMS companies and, as such, have the access to large amounts of data. Yet what if a start-up has limited parts and needs to predict reliability? This talk will target the emerging MEMS technology market with a methodology for reliability prediction in a start-up environment. Further, the talk will describe the development of a successful MEMS reliability program with the inherent constraints of a start-up in mind.

Biography: Allyson Hartzell is a scientific consultant at Veryst Engineering with more than three decades of professional experience in emerging technologies. Her experience includes work at such companies as Qualcomm, Pixtronix, Lilliputian Systems, Boston Micromachines, Exponent, and Analog Devices. Ms. Hartzell is an internationally recognized expert in MEMS reliability, and has expertise in surface chemistry and analytical techniques for failure analysis. Allyson possesses a broad background in semiconductor and MEMS fabrication, yield enhancement, emerging technology manufacturing and reliability, packaging materials and processing, and cleanroom science -- including particulate and molecular contamination. Ms. Hartzell works with customers on reliability, failure analysis root cause and corrective action, manufacturing problem solving and fundamental materials science. Allyson has a Bachelor's degree from Brown University and a Master's degree from Harvard University.


MEMS on CMOS: perspective and initiatives
Arjun Kumar Kantimahanti
Vice President of Technology
Silterra

Silterra is an 8-inch foundry located in Malaysia. It has a installed capacity of 30,000 wafers per month and offers technologies ranging from 180nm to 90nm (both aluminum and copper) covering the logic, RF, mixed signal, high voltage, eFlash and BCD segments. Since the last three years, Silterra has been focusing on developing a platform to build MEMS on CMOS. We have been successful in building micro-mirrors for projection applications and RF-MEMS for timing and sensing applications. In this talk, we introduce Silterra’s capabilities in the area of RF-MEMS. Silterra offers the following three main platforms: 1) ESR - electrostatic resonator with zero level packaging. This platform can address applications that require a frequency range of 5MHz to 40MHz; 2) SAW - piezoelectric based resonators and delay lines. This platform can address applications that require a frequency range of 300MHz to 2.4GHz; and 3) BAW - piezoelectric based bulk acoustic resonators. This platform can address applications that require a frequency range of 2.4GHz to 10GHz. All the above devices are available as an add-on process option to any of our aluminum based technologies and all these platforms deliver a single chip solution.

Biography: Arjun Kumar Kantimahanti is the Vice President of Technology Development at Silterra Malaysia Sdn. Bhd. He has been with Silterra since 2001 and has been instrumental in setting up various technologies at Silterra. He has a total experience of 21 years in the Semiconductor Industry and through out his career he has been working in Technology Development and Process Integration. Prior to joining Silterra, Arjun was at Chartered Semiconductor (now known as Global Foundries). He has started his career at Chartered Semiconductor in 1994. Arjun holds a Master’s degree in Microelectronics from Texas A&M University and a Bachelor’s degree in Electrical and Electronics Engineering from Sri Venkateswara University, India.


MEMS technologies that make the difference
Philippe Krebs
Business Development Manager
CSEM

CSEM develops, produces and integrates innovative MEMS-based devices exploiting its advanced technologies to provide new integrated solutions to industrial customers. Development of MEMS-based devices involves the commitment of several disciplines. CSEM is able to offer to its customers a full solution including MEMS, ASIC, system integration, packaging and testing. Customers who contract CSEM have a general idea of what kind of MEMS components they need for their products. The design and process engineering activity at CSEM is there to respond to these needs. The customer’s designs are translated into fabrication process-flows and mask designs. The customer’s specifications are verified through analytical or numerical simulations. Once this is complete, the agreed process-flows are run at our silicon based microfabrication facility for prototyping as well as small-scale production. For larger volume, we do technology transfer to larger MEMS companies. CSEM turns customers ideas into successful commercial products.

Biography: Philippe Krebs recently joined CSEM as business development manager for MEMS technology of the micro and nano systems division. Philippe graduated from EPFL in Switzerland with a Masters degree in Microengineering in 1988. In the following years, he worked at CSEM and its first "spin-off" company, Microsens, in the area of microsystems product design and process development. Afterwards, he worked in a MEMS market analysis role for Unaxis Wafer Processing (later renamed Oerlikon). Over the past 10 years, Philippe has worked at Colibrys, one of the most successful CSEM "spin-off" companies. While at Colibrys, his key responsibilities were within the sales and marketing department as business team leader in charge of contract manufacturing services, as well as product manager in charge of two lines high-end MEMS accelerometers. Philippe has more than 25 years of MEMS experience spanning many engineering and marketing roles.


Overview of TSV processes for MEMS applications
Kevin Mach
Director of Business Development
Silex Microsystems

Historically, the focus from designers and engineers on specific MEMS applications has been placed on the functional performance of the device. Emphasis was placed on the moving mass, the sensing element, or the actuating component. Meanwhile, the rest of the device, such as component level packaging, input and output, and final packaging was left almost as an afterthought. With the proliferation and commercialization of MEMS components in the consumer realm, the focus in the industry has shifted. Modeling software, designers, process engineers, and foundries have learned the lessons needed to replicate full functioning devices at a consumer scale and, therefore, much higher volumes. The trend now is to transition from a traditional functioning device to something that is smaller, lower cost, and more integrated. The solution for this is based on two key technologies: through substrate vias (TSVs) and wafer level packaging (WLP). In this talk, TSV and WLP technologies will be discussed and case studies will be provided for some of the modern device implementations.

Biography: Kevin Mach is the Director of Business Development for China and South Korea at Silex Microsystems, the world's largest pure-play MEMS foundry. Based out of Silex' Palo Alto office in California, Kevin manages new and existing business development initiatives in China, Taiwan, Korea, and California. Well versed in technical requirements for MEMS development and manufacturing, Kevin manages a network of partner representatives to provide customers with technical and business support. With major focus in the APAC region, Kevin and the Silex team have been able to achieve significant growth in the region. Prior to joining Silex, Kevin held roles as a management consultant and with Micralyne, as an R&D Engineer and Business Development Manager. He is a graduate of the University of Alberta's Electrical Engineering program.


Technology transfer and MEMS manufacturing: the role of CAD tools
Mary Ann Maher, PhD
President and CEO
SoftMEMS

Technology transfer from MEMS R&D prototypes to volume manufacturing can be one of the most critical steps in successful product development.  This activity can be a major stumbling block for a company if too much time is spent in initial foundry engagement and non-recurring engineering (NRE) activities.  MEMS tech transfer is especially challenging due to the variety of fabrication processes and lack of manufacturing standards.  This talk will discuss barriers that typically come up during MEMS technology transfer to volume manufacturing, and how computer aided design (CAD) tools can help address these challenges.  Further, the talk will describe how MEMS CAD tools are being used today to automate tech transfer, avoid communication problems, and minimize costly mistakes by standardizing information exchange, modeling manufacturing processes and their variations, and performing important design checks to ensure successful manufacturing.  CAD tools also help MEMS designers achieve targeted specifications and support yield enhancement.  The talk will also provide a brief update on the MEMS manufacturing ecosystem.

Biography: Dr. Mary Ann Maher is the CEO of SoftMEMS LLC.  She received her BS degree in 1982 from Penn State University in Computer Science, and her PhD degree in 1989 from Caltech with a thesis on semiconductor device modeling.  She subsequently conducted post-doctoral studies at the Swiss Center for Electronics and Microtechnology (CSEM) in Neuchatel, Switzerland, where she studied analog memories and low-power analog ICs with on-chip sensors for artificial vision applications.  After joining Tanner Research in 1992, she began the simulation and modeling group and launched Tanner's T-Spice analog circuit simulator product, the MEMS Pro Microsystems, and MCM Pro multi-chip module and packaging design tool suites.  She then moved to MEMSCAP, a MEMS manufacturer, in 1999 as an Executive Vice President and became the company's CTO in 2001.  She founded SoftMEMS LLC in 2004 to address the market for CAD tools for MEMS manufacturing and design.


SpringerMaterials for MEMS professionals
Rob Padilla, PhD
Scientific Advisor, SpringerMaterials
Springer

SpringerMaterials is the world’s largest and most comprehensive materials science database. Based on the historic Landolt-Börnstein Series, the database covers over 3000 properties of more than 250,000 materials. Some of the many topics covered include properties of semiconductor materials, detailed spectroscopic and microscopy techniques of inorganic and polymeric materials, and extensive data on nanomaterial applications. The database is supported by hundreds of thousands of crystal structures, phase diagrams, and data tables, all organized to help researchers quickly find the data they need.

Biography: Robin Padilla, received his PhD in chemistry from the University of California, Berkeley and was a postdoctoral researcher at BASF’s Catalysis Research Laboratory in Heidelberg, Germany. He then served as a scientific editor for the Thieme Publishing Group’s organic chemistry database Science of Synthesis. Transitioning to Wiley, he worked as a development editor in the materials science and physics journals group. He is currently a scientific advisor at Springer, where he works on the product and business development of the SpringerMaterials database.


Manufacturing MEMS devices: new material and process technologies
Mike Rosa, PhD
Director, Strategy and Technical Marketing – Emerging Technologies
Applied Materials

With the proliferation of smartphones and tablet PCs, MEMS have arrived onto the consumer electronics scene and now promise to become a far more ubiquitous staple in our increasingly gadget filled lives. Accelerometers, gyroscopes, RF filters and digital compasses are just a few of the devices enabled through advances in MEMS design and process capability. While the number of device types continues to grow, so do the short cycle generational pressures of device size (footprint), capability and cost -- especially when targeting the high-volume and fast moving consumer electronics market. This presentation will explore a number of new material technologies that are enabling device manufacturers to build MEMS devices that, not only meet the performance and size demands of the consumer market, but also help lower device average selling price (ASP) while also increasing device throughput and, thereby, also lowering tool cost of ownership (CoO) for manufacturers. Further, this talk will provide an update on the development of a number of new and existing advanced technologies for MEMS, such as deep reactive ion etch (DRIE), high uniformity aluminum nitride (AlN), low temperature silicon-germanium (SiGe) and thick epitaxial silicon (thick epi) growth, among others. This presentation will conclude with a discussion on how new concepts and trends such as the Internet of Things (IoT) may challenge both the capability and price competitiveness of the current 200mm equipment landscape. How will this new IoT trend affect the future of the 200mm equipment market? What new challenges and opportunities does it present?

Biography: Mike is responsible for identifying key device level technology inflections and translating those into roadmap requirements for the continued development of 200mm equipment and processes. With over 18 years of technology focused product and business development experience, Mike brings to his role significant MEMS domain knowledge and technology commercialization expertise having developed MEMS based solutions for xerography and ink-jet printing, photonics, optical switching, and laser/VCSEL integration and packaging, to name only a few application areas. Prior to joining Applied Materials, Mike held various contributor level and senior leadership positions within the United States and Australia, working for technology focused companies that include Xerox, PARC, Australian Microelectronics Centre (AMC), and National ICT Australia (NICTA). His technical qualifications include B Eng (with honors) and PhD degrees in Microelectronics Engineering and EE (MEMS Design and Fabrication), respectively. In addition to his technical qualifications, Mike has an MBA with dual majors in Marketing and Business Strategy and a minor in Entrepreneurship. He has authored over 35 journal and conference publications and holds over 25 U.S. patents concerning various applications of MEMS and microsystems technology.


Mitigation and elimination of stiction in MEMS devices
Yin Xu
Senior Supervisor of Process Engineering
ULVAC Technologies

MEMS have been utilized in a wide variety of applications as sensors and actuators. Suspended microstructures with very high surface area to volume ratios, such as cantilever beams, are fabricated just a few microns above their supporting substrate. Therefore, MEMS devices are highly susceptible to surface forces which can deflect or pin the suspended structure towards the substrate. This force is known as "stiction" (static friction), one of the main causes of yield failure in MEMS manufacturing. In this presentation, we will discuss the recent trends in the MEMS device market. In particular, we will comprehensively review the typical stiction failure mechanisms associated with MEMS fabrication as well as device operation. We will also review release processes and adhesion prevention methods which have been developed in order to mitigate and eliminate stiction in MEMS manufacturing. These methods are typically optimized based on the combination of the MEMS structure and the sacrificial material. We will also briefly discuss a specific stiction mitigation method based on a selective isotropic plasma etching process.

Biography: Yin Xu received his Bachelor of Engineering degree in Chemistry from Shanghai Jiao Tong University. After working as an industrial engineer for a year, he went on to pursue graduate studies in both Chemistry and Chemical Engineering. Yin earned his Master of Science degree in Chemistry from Dartmouth College, and Master of Engineering degree in Chemical Engineering from Rensselaer Polytechnic Institute. He then joined ULVAC Technologies in 2000 as a process engineer, and is now the Senior Supervisor of Process Engineering in the Process Technology Department. Yin conducts process research and development work in the area of plasma physics and plasma chemistry. His main responsibilities are to develop advanced plasma etching and ashing processes for leading edge semiconductor and MEMS manufacturers.


Three dimensional stacking of MEMS and IC devices: recent challenges and new manufacturing processes
Cihan Yilmaz, PhD
Postdoctoral Research Associate
Northeastern University

The current trend in semiconductor technology toward 3-D stacking of MEMS and IC devices provides the advantages of short interconnections, miniaturization, and compact packaging. In MEMS, the interconnection using TSV requires filling vias that are 10s of microns in diameter and 100s of microns in depth. However, fabrication of such large and high aspect ratio TSV interconnects by vias electroplating or thin film deposition is costly and technologically challenging. Furthermore, future generation ICs demand for very small size (<16nm) and high aspect ratio interconnects, which are extremely challenging to fabricate using conventional techniques. Therefore, there is a need for the development of manufacturable and cost-effective alternative interconnect fabrication techniques. In this presentation, recent challenges in 3-D integration of MEMS and ICs in terms of manufacturing, scaling and yield issues will be reviewed, and new manufacturing methods will be discussed. Additionally, a newly developed manufacturing process for fabricating 3-D interconnects will be briefly introduced; the process is material independent, and designed to operate at room pressure and temperature.

Biography: Dr. Cihan Yilmaz received his BS degree in mechanical engineering from Yeditepe University in Istanbul, Turkey in 2006, and his PhD degree in mechanical engineering from Northeastern University in Boston, Massachusetts in 2013. He is currently working as a Postdoctoral Research Associate in mechanical engineering at the National Science Foundation (NSF) Nanoscale Science and Engineering Center for High-Rate Nanomanufacturing at Northeastern University. Cihan's research interests primarily include directed assembly and transfer of nanoparticles and carbon nanotubes, 2 and 3-D nanoscale printing, CMOS and MEMS interconnects, and biosensors. Dr. Yilmaz has authored or coauthored more than 15 papers in highly reputed journals including ACS Nano and Advanced Materials. He presented his findings in more than 50 academic and industry conferences. Dr. Yilmaz is a reviewer of the Journal of Nanoparticle Research, is a recipient of the 2008 Roger H. Grace Fellowship in Nanomanufacturing, and is listed in 2012 Marquis Who's Who in America.


Call for Speakers

If you’d like to participate as a speaker, please call Jessica Ingram at 360-929-0114 or send a brief email with your proposed presentation topic to jessica@microtechventures.com. All speakers will receive a complimentary pass to the conference.

Conference scope includes topics related to MEMS manufacturing, such as:

  • Global trends: market drivers, emerging applications, intellectual property factors, business roadmaps, government policy effects.
  • Technology aspects: process selection and development, PDKs and design rules, design for manufacturing, scaling, yield improvement, IP blocks, rapid prototyping, high volume production.
  • Business aspects: competitive and market dynamics, "pure play" foundries vs. platform-based design approaches, technology transfer, IDM vs. fabless approaches, supply chain challenges, ecosystem development, cost management and reduction.
  • Emerging technologies and processes: TSVs, 3D stacking, wafer level packaging, CMOS MEMS integration, EDA software and simulation tools, polymer and glass microfabrication, novel materials and coatings, lamination techniques, ultra-thin and flexible substrates.
  • Packaging, testing, and reliability: equipment, tools, methodologies, case studies.